https://launchpad.net/ubuntu/+source/verilator/5.024-1/+build/28438064 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux lcy02-amd64-024 5.4.0-177-generic #197-Ubuntu SMP Thu Mar 28 22:45:47 UTC 2024 x86_64 Buildd toolchain package versions: launchpad-buildd_237~660~ubuntu20.04.1 python3-lpbuildd_237~660~ubuntu20.04.1 sbuild_0.79.0-1ubuntu1 git-build-recipe_0.3.6 git_1:2.25.1-1ubuntu3.11 dpkg-dev_1.19.7ubuntu3.2 python3-debian_0.1.36ubuntu1.1. Syncing the system clock with the buildd NTP service... 10 May 23:15:36 ntpdate[1920]: adjust time server 10.131.248.1 offset -0.001761 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=oracular --arch=amd64 PACKAGEBUILD-28438064 --image-type chroot /home/buildd/filecache-default/1fe94ca8758119221c8de2550665a1bb335bd6a9 Creating target for build PACKAGEBUILD-28438064 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=oracular --arch=amd64 PACKAGEBUILD-28438064 Starting target for build PACKAGEBUILD-28438064 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=oracular --arch=amd64 PACKAGEBUILD-28438064 'deb http://ftpmaster.internal/ubuntu oracular main universe' 'deb http://ftpmaster.internal/ubuntu oracular-security main universe' 'deb http://ftpmaster.internal/ubuntu oracular-updates main universe' 'deb http://ftpmaster.internal/ubuntu oracular-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-28438064 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=oracular --arch=amd64 PACKAGEBUILD-28438064 Updating target for build PACKAGEBUILD-28438064 Get:1 http://ftpmaster.internal/ubuntu oracular InRelease [73.9 kB] Get:2 http://ftpmaster.internal/ubuntu oracular-security InRelease [73.9 kB] Get:3 http://ftpmaster.internal/ubuntu oracular-updates InRelease [73.9 kB] Get:4 http://ftpmaster.internal/ubuntu oracular-proposed InRelease [73.9 kB] Get:5 http://ftpmaster.internal/ubuntu oracular/main amd64 Packages [1396 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main Translation-en [512 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/universe amd64 Packages [15.6 MB] Get:8 http://ftpmaster.internal/ubuntu oracular/universe Translation-en [6020 kB] Get:9 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 Packages [206 kB] Get:10 http://ftpmaster.internal/ubuntu oracular-proposed/main Translation-en [72.5 kB] Get:11 http://ftpmaster.internal/ubuntu oracular-proposed/universe amd64 Packages [1035 kB] Get:12 http://ftpmaster.internal/ubuntu oracular-proposed/universe Translation-en [391 kB] Fetched 25.6 MB in 3s (8673 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following packages were automatically installed and are no longer required: libnsl-dev libperl5.36 libtirpc-dev libunistring2 perl-modules-5.36 Use 'sudo apt autoremove' to remove them. The following packages will be REMOVED: libapt-pkg6.0* libdb5.3* libext2fs2* libgdbm-compat4* libgdbm6* libgnutls30* libhogweed6* libnettle8* libnpth0* libpng16-16* libreadline8* libssl3* libtirpc3* usrmerge* The following NEW packages will be installed: cpp-13-x86-64-linux-gnu cpp-x86-64-linux-gnu g++-13-x86-64-linux-gnu g++-x86-64-linux-gnu gcc-13-x86-64-linux-gnu gcc-14-base gcc-x86-64-linux-gnu libapt-pkg6.0t64 libdb5.3t64 libext2fs2t64 libgdbm-compat4t64 libgdbm6t64 libgnutls30t64 libhogweed6t64 libnettle8t64 libnpth0t64 libperl5.38t64 libpng16-16t64 libreadline8t64 libssl3t64 libtirpc3t64 libunistring5 perl-modules-5.38 The following packages will be upgraded: advancecomp apt apt-utils base-files base-passwd bash bash-completion binutils binutils-common binutils-x86-64-linux-gnu bsdextrautils bsdutils bzip2 ca-certificates coreutils cpp cpp-13 dash debconf debconf-i18n debianutils diffutils dpkg dpkg-dev e2fsprogs fakeroot findutils g++ g++-13 gcc gcc-13 gcc-13-base gpg gpg-agent gpgconf gpgv grep gzip hostname init init-system-helpers krb5-locales libacl1 libapparmor1 libargon2-1 libasan8 libassuan0 libatomic1 libattr1 libaudit-common libaudit1 libbinutils libblkid1 libbz2-1.0 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libcryptsetup12 libctf-nobfd0 libctf0 libdebconfclient0 libdevmapper1.02.1 libdpkg-perl libfakeroot libfdisk1 libffi8 libgcc-13-dev libgcc-s1 libgcrypt20 libgmp10 libgomp1 libgpg-error-l10n libgpg-error0 libgpm2 libgprofng0 libgssapi-krb5-2 libhwasan0 libidn2-0 libip4tc2 libisl23 libitm1 libjansson4 libjson-c5 libk5crypto3 libkeyutils1 libkmod2 libkrb5-3 libkrb5support0 liblocale-gettext-perl liblockfile-bin liblockfile1 liblsan0 liblz4-1 liblzma5 libmd0 libmount1 libmpc3 libmpfr6 libncursesw6 libnsl-dev libnsl2 libnss-nis libnss-nisplus libp11-kit0 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpcre2-8-0 libproc2-0 libquadmath0 libseccomp2 libselinux1 libsemanage-common libsemanage2 libsepol2 libsframe1 libsmartcols1 libsqlite3-0 libss2 libstdc++-13-dev libstdc++6 libsystemd-shared libsystemd0 libtasn1-6 libtext-charwidth-perl libtext-iconv-perl libtinfo6 libtirpc-common libtirpc-dev libtsan2 libubsan1 libudev1 libuuid1 libxxhash0 libzstd1 linux-libc-dev lockfile-progs login logsave lto-disabled-list make mawk mount ncurses-base ncurses-bin openssl optipng passwd patch perl perl-base pinentry-curses procps psmisc readline-common rpcsvc-proto sed sensible-utils systemd systemd-dev systemd-sysv sysvinit-utils tar tzdata ubuntu-keyring util-linux uuid-runtime xz-utils zlib1g 177 upgraded, 23 newly installed, 14 to remove and 0 not upgraded. Need to get 122 MB of archives. After this operation, 80.4 MB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libtirpc-common all 1.3.4+ds-1.3 [8106 B] Get:2 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libtirpc-dev amd64 1.3.4+ds-1.3 [193 kB] Get:3 http://ftpmaster.internal/ubuntu oracular/main amd64 libnsl-dev amd64 1.3.0-3build3 [70.5 kB] Get:4 http://ftpmaster.internal/ubuntu oracular/main amd64 libnsl2 amd64 1.3.0-3build3 [41.4 kB] Get:5 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libtirpc3t64 amd64 1.3.4+ds-1.3 [82.5 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main amd64 libgssapi-krb5-2 amd64 1.20.1-6ubuntu2 [142 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/main amd64 libkrb5-3 amd64 1.20.1-6ubuntu2 [347 kB] Get:8 http://ftpmaster.internal/ubuntu oracular/main amd64 libk5crypto3 amd64 1.20.1-6ubuntu2 [81.7 kB] Get:9 http://ftpmaster.internal/ubuntu oracular/main amd64 libkrb5support0 amd64 1.20.1-6ubuntu2 [33.5 kB] Get:10 http://ftpmaster.internal/ubuntu oracular/main amd64 libargon2-1 amd64 0~20190702+dfsg-4build1 [20.8 kB] Get:11 http://ftpmaster.internal/ubuntu oracular/main amd64 libblkid1 amd64 2.39.3-9ubuntu6 [123 kB] Get:12 http://ftpmaster.internal/ubuntu oracular/main amd64 libpcre2-8-0 amd64 10.42-4ubuntu2 [227 kB] Get:13 http://ftpmaster.internal/ubuntu oracular/main amd64 libselinux1 amd64 3.5-2ubuntu2 [79.6 kB] Get:14 http://ftpmaster.internal/ubuntu oracular/main amd64 libcap2 amd64 1:2.66-5ubuntu2 [30.0 kB] Get:15 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libudev1 amd64 256~rc1-1~exp2ubuntu1 [188 kB] Get:16 http://ftpmaster.internal/ubuntu oracular/main amd64 libdevmapper1.02.1 amd64 2:1.02.185-3ubuntu3 [139 kB] Get:17 http://ftpmaster.internal/ubuntu oracular/main amd64 libjson-c5 amd64 0.17-1build1 [35.3 kB] Get:18 http://ftpmaster.internal/ubuntu oracular/main amd64 libuuid1 amd64 2.39.3-9ubuntu6 [35.2 kB] Get:19 http://ftpmaster.internal/ubuntu oracular/main amd64 libcryptsetup12 amd64 2:2.7.0-1ubuntu4 [266 kB] Get:20 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libacl1 amd64 2.3.2-2 [16.8 kB] Get:21 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libapparmor1 amd64 4.0.1-0ubuntu1 [49.9 kB] Get:22 http://ftpmaster.internal/ubuntu oracular/main amd64 libaudit-common all 1:3.1.2-2.1build1 [5736 B] Get:23 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libcap-ng0 amd64 0.8.5-1 [15.2 kB] Get:24 http://ftpmaster.internal/ubuntu oracular/main amd64 libaudit1 amd64 1:3.1.2-2.1build1 [46.9 kB] Get:25 http://ftpmaster.internal/ubuntu oracular/main amd64 libcrypt-dev amd64 1:4.4.36-4build1 [112 kB] Get:26 http://ftpmaster.internal/ubuntu oracular/main amd64 libcrypt1 amd64 1:4.4.36-4build1 [82.3 kB] Get:27 http://ftpmaster.internal/ubuntu oracular/main amd64 libmount1 amd64 2.39.3-9ubuntu6 [134 kB] Get:28 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 perl-modules-5.38 all 5.38.2-4 [3110 kB] Get:29 http://ftpmaster.internal/ubuntu oracular/main amd64 libdb5.3t64 amd64 5.3.28+dfsg2-7 [734 kB] Get:30 http://ftpmaster.internal/ubuntu oracular/main amd64 libgdbm6t64 amd64 1.23-5.1build1 [34.4 kB] Get:31 http://ftpmaster.internal/ubuntu oracular/main amd64 libgdbm-compat4t64 amd64 1.23-5.1build1 [6710 B] Get:32 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libperl5.38t64 amd64 5.38.2-4 [4870 kB] Get:33 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 perl amd64 5.38.2-4 [231 kB] Get:34 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 perl-base amd64 5.38.2-4 [1823 kB] Get:35 http://ftpmaster.internal/ubuntu oracular/main amd64 liblocale-gettext-perl amd64 1.07-6ubuntu5 [15.8 kB] Get:36 http://ftpmaster.internal/ubuntu oracular/main amd64 libtext-iconv-perl amd64 1.7-8build3 [13.5 kB] Get:37 http://ftpmaster.internal/ubuntu oracular/main amd64 libtext-charwidth-perl amd64 0.04-11build3 [9358 B] Get:38 http://ftpmaster.internal/ubuntu oracular/universe amd64 libnss-nisplus amd64 1.3-5build1 [22.4 kB] Get:39 http://ftpmaster.internal/ubuntu oracular/universe amd64 libnss-nis amd64 3.1-0ubuntu7 [26.5 kB] Get:40 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libc-dev-bin amd64 2.39-0ubuntu8.1 [20.4 kB] Get:41 http://ftpmaster.internal/ubuntu oracular/main amd64 rpcsvc-proto amd64 1.4.2-0ubuntu7 [67.4 kB] Get:42 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libc6-dev amd64 2.39-0ubuntu8.1 [2124 kB] Get:43 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libc6 amd64 2.39-0ubuntu8.1 [3265 kB] Get:44 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libc-bin amd64 2.39-0ubuntu8.1 [682 kB] Get:45 http://ftpmaster.internal/ubuntu oracular/main amd64 openssl amd64 3.0.13-0ubuntu3 [1002 kB] Get:46 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libsystemd-shared amd64 256~rc1-1~exp2ubuntu1 [2183 kB] Get:47 http://ftpmaster.internal/ubuntu oracular/main amd64 liblzma5 amd64 5.6.1+really5.4.5-1 [127 kB] Get:48 http://ftpmaster.internal/ubuntu oracular/main amd64 libkmod2 amd64 31+20240202-2ubuntu7 [51.7 kB] Get:49 http://ftpmaster.internal/ubuntu oracular/main amd64 libssl3t64 amd64 3.0.13-0ubuntu3 [1936 kB] Get:50 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 systemd-dev all 256~rc1-1~exp2ubuntu1 [105 kB] Get:51 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 systemd-sysv amd64 256~rc1-1~exp2ubuntu1 [11.9 kB] Get:52 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 systemd amd64 256~rc1-1~exp2ubuntu1 [3641 kB] Get:53 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libsystemd0 amd64 256~rc1-1~exp2ubuntu1 [439 kB] Get:54 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libapt-pkg6.0t64 amd64 2.9.2 [987 kB] Get:55 http://ftpmaster.internal/ubuntu oracular/main amd64 libnettle8t64 amd64 3.9.1-2.2build1 [182 kB] Get:56 http://ftpmaster.internal/ubuntu oracular/main amd64 libhogweed6t64 amd64 3.9.1-2.2build1 [199 kB] Get:57 http://ftpmaster.internal/ubuntu oracular/main amd64 libp11-kit0 amd64 0.25.3-4ubuntu2 [299 kB] Get:58 http://ftpmaster.internal/ubuntu oracular/main amd64 libunistring5 amd64 1.2-1 [539 kB] Get:59 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libgnutls30t64 amd64 3.8.3-1.1ubuntu3.1 [998 kB] Get:60 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 apt amd64 2.9.2 [1403 kB] Get:61 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 apt-utils amd64 2.9.2 [223 kB] Get:62 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libpam-modules-bin amd64 1.5.3-7ubuntu1 [51.9 kB] Get:63 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libpam-modules amd64 1.5.3-7ubuntu1 [284 kB] Get:64 http://ftpmaster.internal/ubuntu oracular/main amd64 bzip2 amd64 1.0.8-5.1 [34.5 kB] Get:65 http://ftpmaster.internal/ubuntu oracular/main amd64 libbz2-1.0 amd64 1.0.8-5.1 [34.3 kB] Get:66 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 gcc-14-base amd64 14-20240429-1ubuntu1 [48.0 kB] Get:67 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libgcc-s1 amd64 14-20240429-1ubuntu1 [78.3 kB] Get:68 http://ftpmaster.internal/ubuntu oracular/main amd64 libgpg-error-l10n all 1.47-3build2 [8064 B] Get:69 http://ftpmaster.internal/ubuntu oracular/main amd64 libgpg-error0 amd64 1.47-3build2 [70.0 kB] Get:70 http://ftpmaster.internal/ubuntu oracular/main amd64 libgcrypt20 amd64 1.10.3-2build1 [532 kB] Get:71 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 liblz4-1 amd64 1.9.4-2 [62.9 kB] Get:72 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libstdc++6 amd64 14-20240429-1ubuntu1 [794 kB] Get:73 http://ftpmaster.internal/ubuntu oracular/main amd64 libxxhash0 amd64 0.8.2-2build1 [24.1 kB] Get:74 http://ftpmaster.internal/ubuntu oracular/main amd64 libzstd1 amd64 1.5.5+dfsg2-2build1 [299 kB] Get:75 http://ftpmaster.internal/ubuntu oracular/main amd64 zlib1g amd64 1:1.3.dfsg-3.1ubuntu2 [62.8 kB] Get:76 http://ftpmaster.internal/ubuntu oracular/main amd64 libgmp10 amd64 2:6.3.0+dfsg-2ubuntu6 [253 kB] Get:77 http://ftpmaster.internal/ubuntu oracular/main amd64 libffi8 amd64 3.4.6-1build1 [24.7 kB] Get:78 http://ftpmaster.internal/ubuntu oracular/main amd64 libidn2-0 amd64 2.3.7-2build1 [66.2 kB] Get:79 http://ftpmaster.internal/ubuntu oracular/main amd64 libtasn1-6 amd64 4.19.0-3build1 [43.9 kB] Get:80 http://ftpmaster.internal/ubuntu oracular/main amd64 libdebconfclient0 amd64 0.271ubuntu3 [11.4 kB] Get:81 http://ftpmaster.internal/ubuntu oracular/main amd64 base-passwd amd64 3.6.3build1 [51.1 kB] Get:82 http://ftpmaster.internal/ubuntu oracular/main amd64 libassuan0 amd64 2.5.6-1build1 [37.3 kB] Get:83 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libsqlite3-0 amd64 3.45.3-1 [701 kB] Get:84 http://ftpmaster.internal/ubuntu oracular/main amd64 gpg amd64 2.4.4-2ubuntu17 [565 kB] Get:85 http://ftpmaster.internal/ubuntu oracular/main amd64 libreadline8t64 amd64 8.2-4build1 [153 kB] Get:86 http://ftpmaster.internal/ubuntu oracular/main amd64 readline-common all 8.2-4build1 [56.5 kB] Get:87 http://ftpmaster.internal/ubuntu oracular/main amd64 libncursesw6 amd64 6.4+20240113-1ubuntu2 [148 kB] Get:88 http://ftpmaster.internal/ubuntu oracular/main amd64 libtinfo6 amd64 6.4+20240113-1ubuntu2 [108 kB] Get:89 http://ftpmaster.internal/ubuntu oracular/main amd64 gpg-agent amd64 2.4.4-2ubuntu17 [227 kB] Get:90 http://ftpmaster.internal/ubuntu oracular/main amd64 gpgconf amd64 2.4.4-2ubuntu17 [103 kB] Get:91 http://ftpmaster.internal/ubuntu oracular/main amd64 pinentry-curses amd64 1.2.1-3ubuntu5 [35.2 kB] Get:92 http://ftpmaster.internal/ubuntu oracular/main amd64 init-system-helpers all 1.66ubuntu1 [39.4 kB] Get:93 http://ftpmaster.internal/ubuntu oracular/main amd64 libnpth0t64 amd64 1.6-3.1build1 [8378 B] Get:94 http://ftpmaster.internal/ubuntu oracular/main amd64 gpgv amd64 2.4.4-2ubuntu17 [157 kB] Get:95 http://ftpmaster.internal/ubuntu oracular/main amd64 ubuntu-keyring all 2023.11.28.1 [11.1 kB] Get:96 http://ftpmaster.internal/ubuntu oracular/main amd64 libseccomp2 amd64 2.5.5-1ubuntu3 [50.3 kB] Get:97 http://ftpmaster.internal/ubuntu oracular/main amd64 debconf-i18n all 1.5.86ubuntu1 [205 kB] Get:98 http://ftpmaster.internal/ubuntu oracular/main amd64 debconf all 1.5.86ubuntu1 [124 kB] Get:99 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libpam0g amd64 1.5.3-7ubuntu1 [67.8 kB] Get:100 http://ftpmaster.internal/ubuntu oracular/main amd64 libfdisk1 amd64 2.39.3-9ubuntu6 [146 kB] Get:101 http://ftpmaster.internal/ubuntu oracular/main amd64 mount amd64 2.39.3-9ubuntu6 [118 kB] Get:102 http://ftpmaster.internal/ubuntu oracular/main amd64 libcom-err2 amd64 1.47.0-2.4~exp1ubuntu4 [22.8 kB] Get:103 http://ftpmaster.internal/ubuntu oracular/main amd64 libkeyutils1 amd64 1.6.3-3build1 [9490 B] Get:104 http://ftpmaster.internal/ubuntu oracular/main amd64 linux-libc-dev amd64 6.8.0-31.31 [1598 kB] Get:105 http://ftpmaster.internal/ubuntu oracular/main amd64 base-files amd64 13.1ubuntu1 [73.8 kB] Get:106 http://ftpmaster.internal/ubuntu oracular/main amd64 debianutils amd64 5.17build1 [89.9 kB] Get:107 http://ftpmaster.internal/ubuntu oracular/main amd64 bash amd64 5.2.21-2ubuntu4 [794 kB] Get:108 http://ftpmaster.internal/ubuntu oracular/main amd64 bsdutils amd64 1:2.39.3-9ubuntu6 [95.0 kB] Get:109 http://ftpmaster.internal/ubuntu oracular/main amd64 coreutils amd64 9.4-3ubuntu6 [1412 kB] Get:110 http://ftpmaster.internal/ubuntu oracular/main amd64 tar amd64 1.35+dfsg-3build1 [253 kB] Get:111 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 dpkg amd64 1.22.6ubuntu10 [1283 kB] Get:112 http://ftpmaster.internal/ubuntu oracular/main amd64 dash amd64 0.5.12-6ubuntu5 [89.8 kB] Get:113 http://ftpmaster.internal/ubuntu oracular/main amd64 diffutils amd64 1:3.10-1build1 [177 kB] Get:114 http://ftpmaster.internal/ubuntu oracular/main amd64 findutils amd64 4.9.0-5build1 [298 kB] Get:115 http://ftpmaster.internal/ubuntu oracular/main amd64 grep amd64 3.11-4build1 [162 kB] Get:116 http://ftpmaster.internal/ubuntu oracular/main amd64 gzip amd64 1.12-1ubuntu3 [98.8 kB] Get:117 http://ftpmaster.internal/ubuntu oracular/main amd64 hostname amd64 3.23+nmu2ubuntu2 [11.1 kB] Get:118 http://ftpmaster.internal/ubuntu oracular/main amd64 login amd64 1:4.13+dfsg1-4ubuntu3 [202 kB] Get:119 http://ftpmaster.internal/ubuntu oracular/main amd64 ncurses-bin amd64 6.4+20240113-1ubuntu2 [188 kB] Get:120 http://ftpmaster.internal/ubuntu oracular/main amd64 sed amd64 4.9-2build1 [193 kB] Get:121 http://ftpmaster.internal/ubuntu oracular/main amd64 util-linux amd64 2.39.3-9ubuntu6 [1127 kB] Get:122 http://ftpmaster.internal/ubuntu oracular/main amd64 ncurses-base all 6.4+20240113-1ubuntu2 [25.5 kB] Get:123 http://ftpmaster.internal/ubuntu oracular/main amd64 sysvinit-utils amd64 3.08-6ubuntu3 [34.2 kB] Get:124 http://ftpmaster.internal/ubuntu oracular/main amd64 logsave amd64 1.47.0-2.4~exp1ubuntu4 [22.5 kB] Get:125 http://ftpmaster.internal/ubuntu oracular/main amd64 libext2fs2t64 amd64 1.47.0-2.4~exp1ubuntu4 [222 kB] Get:126 http://ftpmaster.internal/ubuntu oracular/main amd64 e2fsprogs amd64 1.47.0-2.4~exp1ubuntu4 [601 kB] Get:127 http://ftpmaster.internal/ubuntu oracular/main amd64 optipng amd64 0.7.8+ds-1build2 [110 kB] Get:128 http://ftpmaster.internal/ubuntu oracular/main amd64 libpng16-16t64 amd64 1.6.43-5build1 [187 kB] Get:129 http://ftpmaster.internal/ubuntu oracular/main amd64 init amd64 1.66ubuntu1 [6186 B] Get:130 http://ftpmaster.internal/ubuntu oracular/main amd64 libsmartcols1 amd64 2.39.3-9ubuntu6 [64.8 kB] Get:131 http://ftpmaster.internal/ubuntu oracular/main amd64 uuid-runtime amd64 2.39.3-9ubuntu6 [33.1 kB] Get:132 http://ftpmaster.internal/ubuntu oracular/main amd64 libattr1 amd64 1:2.5.2-1build1 [11.3 kB] Get:133 http://ftpmaster.internal/ubuntu oracular/main amd64 libmd0 amd64 1.1.0-2build1 [27.0 kB] Get:134 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libpam-runtime all 1.5.3-7ubuntu1 [40.8 kB] Get:135 http://ftpmaster.internal/ubuntu oracular/main amd64 libsemanage-common all 3.5-1build5 [10.1 kB] Get:136 http://ftpmaster.internal/ubuntu oracular/main amd64 libsepol2 amd64 3.5-2build1 [303 kB] Get:137 http://ftpmaster.internal/ubuntu oracular/main amd64 libsemanage2 amd64 3.5-1build5 [93.2 kB] Get:138 http://ftpmaster.internal/ubuntu oracular/main amd64 passwd amd64 1:4.13+dfsg1-4ubuntu3 [845 kB] Get:139 http://ftpmaster.internal/ubuntu oracular/main amd64 libproc2-0 amd64 2:4.0.4-4ubuntu3 [59.1 kB] Get:140 http://ftpmaster.internal/ubuntu oracular/main amd64 libss2 amd64 1.47.0-2.4~exp1ubuntu4 [17.0 kB] Get:141 http://ftpmaster.internal/ubuntu oracular/main amd64 mawk amd64 1.3.4.20240123-1build1 [127 kB] Get:142 http://ftpmaster.internal/ubuntu oracular/main amd64 procps amd64 2:4.0.4-4ubuntu3 [708 kB] Get:143 http://ftpmaster.internal/ubuntu oracular/main amd64 sensible-utils all 0.0.22 [22.5 kB] Get:144 http://ftpmaster.internal/ubuntu oracular/main amd64 ca-certificates all 20240203 [159 kB] Get:145 http://ftpmaster.internal/ubuntu oracular/main amd64 krb5-locales all 1.20.1-6ubuntu2 [13.8 kB] Get:146 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 tzdata all 2024a-4ubuntu1 [274 kB] Get:147 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 bash-completion all 1:2.13.0-1 [205 kB] Get:148 http://ftpmaster.internal/ubuntu oracular/main amd64 bsdextrautils amd64 2.39.3-9ubuntu6 [73.8 kB] Get:149 http://ftpmaster.internal/ubuntu oracular/main amd64 libgpm2 amd64 1.20.7-11 [14.1 kB] Get:150 http://ftpmaster.internal/ubuntu oracular/main amd64 libip4tc2 amd64 1.8.10-3ubuntu2 [23.3 kB] Get:151 http://ftpmaster.internal/ubuntu oracular/main amd64 libjansson4 amd64 2.14-2build2 [32.8 kB] Get:152 http://ftpmaster.internal/ubuntu oracular/main amd64 psmisc amd64 23.7-1build1 [179 kB] Get:153 http://ftpmaster.internal/ubuntu oracular/main amd64 xz-utils amd64 5.6.1+really5.4.5-1 [267 kB] Get:154 http://ftpmaster.internal/ubuntu oracular/main amd64 advancecomp amd64 2.5-1build1 [182 kB] Get:155 http://ftpmaster.internal/ubuntu oracular/main amd64 libgprofng0 amd64 2.42-4ubuntu2 [851 kB] Get:156 http://ftpmaster.internal/ubuntu oracular/main amd64 libctf0 amd64 2.42-4ubuntu2 [94.5 kB] Get:157 http://ftpmaster.internal/ubuntu oracular/main amd64 libctf-nobfd0 amd64 2.42-4ubuntu2 [97.1 kB] Get:158 http://ftpmaster.internal/ubuntu oracular/main amd64 binutils-x86-64-linux-gnu amd64 2.42-4ubuntu2 [2469 kB] Get:159 http://ftpmaster.internal/ubuntu oracular/main amd64 libbinutils amd64 2.42-4ubuntu2 [572 kB] Get:160 http://ftpmaster.internal/ubuntu oracular/main amd64 binutils amd64 2.42-4ubuntu2 [18.0 kB] Get:161 http://ftpmaster.internal/ubuntu oracular/main amd64 binutils-common amd64 2.42-4ubuntu2 [239 kB] Get:162 http://ftpmaster.internal/ubuntu oracular/main amd64 libsframe1 amd64 2.42-4ubuntu2 [14.8 kB] Get:163 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libubsan1 amd64 14-20240429-1ubuntu1 [1175 kB] Get:164 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 g++-13 amd64 13.2.0-24ubuntu1 [15.0 kB] Get:165 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libstdc++-13-dev amd64 13.2.0-24ubuntu1 [2400 kB] Get:166 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libgomp1 amd64 14-20240429-1ubuntu1 [148 kB] Get:167 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libitm1 amd64 14-20240429-1ubuntu1 [28.9 kB] Get:168 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libatomic1 amd64 14-20240429-1ubuntu1 [10.4 kB] Get:169 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libasan8 amd64 14-20240429-1ubuntu1 [3025 kB] Get:170 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 liblsan0 amd64 14-20240429-1ubuntu1 [1313 kB] Get:171 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libhwasan0 amd64 14-20240429-1ubuntu1 [1632 kB] Get:172 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libquadmath0 amd64 14-20240429-1ubuntu1 [153 kB] Get:173 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 gcc-13 amd64 13.2.0-24ubuntu1 [484 kB] Get:174 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libgcc-13-dev amd64 13.2.0-24ubuntu1 [2688 kB] Get:175 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libtsan2 amd64 14-20240429-1ubuntu1 [2735 kB] Get:176 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libcc1-0 amd64 14-20240429-1ubuntu1 [47.7 kB] Get:177 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 cpp-13 amd64 13.2.0-24ubuntu1 [1032 B] Get:178 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 gcc-13-base amd64 13.2.0-24ubuntu1 [49.3 kB] Get:179 http://ftpmaster.internal/ubuntu oracular/main amd64 libisl23 amd64 0.26-3build1 [680 kB] Get:180 http://ftpmaster.internal/ubuntu oracular/main amd64 libmpfr6 amd64 4.2.1-1build1 [355 kB] Get:181 http://ftpmaster.internal/ubuntu oracular/main amd64 libmpc3 amd64 1.3.1-1build1 [54.5 kB] Get:182 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 gcc-13-x86-64-linux-gnu amd64 13.2.0-24ubuntu1 [21.9 MB] Get:183 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 g++-13-x86-64-linux-gnu amd64 13.2.0-24ubuntu1 [12.5 MB] Get:184 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 cpp-13-x86-64-linux-gnu amd64 13.2.0-24ubuntu1 [11.2 MB] Get:185 http://ftpmaster.internal/ubuntu oracular/main amd64 g++ amd64 4:13.2.0-7ubuntu1 [1100 B] Get:186 http://ftpmaster.internal/ubuntu oracular/main amd64 gcc amd64 4:13.2.0-7ubuntu1 [5018 B] Get:187 http://ftpmaster.internal/ubuntu oracular/main amd64 cpp amd64 4:13.2.0-7ubuntu1 [22.4 kB] Get:188 http://ftpmaster.internal/ubuntu oracular/main amd64 cpp-x86-64-linux-gnu amd64 4:13.2.0-7ubuntu1 [5326 B] Get:189 http://ftpmaster.internal/ubuntu oracular/main amd64 gcc-x86-64-linux-gnu amd64 4:13.2.0-7ubuntu1 [1212 B] Get:190 http://ftpmaster.internal/ubuntu oracular/main amd64 g++-x86-64-linux-gnu amd64 4:13.2.0-7ubuntu1 [964 B] Get:191 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 dpkg-dev all 1.22.6ubuntu10 [1074 kB] Get:192 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libdpkg-perl all 1.22.6ubuntu10 [269 kB] Get:193 http://ftpmaster.internal/ubuntu oracular/main amd64 patch amd64 2.7.6-7build3 [104 kB] Get:194 http://ftpmaster.internal/ubuntu oracular/main amd64 make amd64 4.3-4.1build2 [180 kB] Get:195 http://ftpmaster.internal/ubuntu oracular/main amd64 lto-disabled-list all 48 [12.3 kB] Get:196 http://ftpmaster.internal/ubuntu oracular/main amd64 libfakeroot amd64 1.34-1 [32.4 kB] Get:197 http://ftpmaster.internal/ubuntu oracular/main amd64 fakeroot amd64 1.34-1 [67.2 kB] Get:198 http://ftpmaster.internal/ubuntu oracular/main amd64 liblockfile-bin amd64 1.17-1build3 [11.2 kB] Get:199 http://ftpmaster.internal/ubuntu oracular/main amd64 liblockfile1 amd64 1.17-1build3 [6840 B] Get:200 http://ftpmaster.internal/ubuntu oracular/main amd64 lockfile-progs amd64 0.1.19+nmu1 [8854 B] Preconfiguring packages ... Fetched 122 MB in 1s (107 MB/s) (Reading database ... 13648 files and directories currently installed.) Preparing to unpack .../libtirpc-common_1.3.4+ds-1.3_all.deb ... Unpacking libtirpc-common (1.3.4+ds-1.3) over (1.3.3+ds-1) ... Preparing to unpack .../libtirpc-dev_1.3.4+ds-1.3_amd64.deb ... Unpacking libtirpc-dev:amd64 (1.3.4+ds-1.3) over (1.3.3+ds-1) ... Preparing to unpack .../libnsl-dev_1.3.0-3build3_amd64.deb ... Unpacking libnsl-dev:amd64 (1.3.0-3build3) over (1.3.0-2build2) ... Preparing to unpack .../libnsl2_1.3.0-3build3_amd64.deb ... Unpacking libnsl2:amd64 (1.3.0-3build3) over (1.3.0-2build2) ... dpkg: libtirpc3:amd64: dependency problems, but removing anyway as you requested: libnss-nisplus:amd64 depends on libtirpc3 (>= 1.0.2). (Reading database ... 13648 files and directories currently installed.) Removing libtirpc3:amd64 (1.3.3+ds-1) ... Selecting previously unselected package libtirpc3t64:amd64. (Reading database ... 13642 files and directories currently installed.) Preparing to unpack .../0-libtirpc3t64_1.3.4+ds-1.3_amd64.deb ... Adding 'diversion of /lib/x86_64-linux-gnu/libtirpc.so.3 to /lib/x86_64-linux-gnu/libtirpc.so.3.usr-is-merged by libtirpc3t64' Adding 'diversion of /lib/x86_64-linux-gnu/libtirpc.so.3.0.0 to /lib/x86_64-linux-gnu/libtirpc.so.3.0.0.usr-is-merged by libtirpc3t64' Unpacking libtirpc3t64:amd64 (1.3.4+ds-1.3) ... Preparing to unpack .../1-libgssapi-krb5-2_1.20.1-6ubuntu2_amd64.deb ... Unpacking libgssapi-krb5-2:amd64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../2-libkrb5-3_1.20.1-6ubuntu2_amd64.deb ... Unpacking libkrb5-3:amd64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../3-libk5crypto3_1.20.1-6ubuntu2_amd64.deb ... Unpacking libk5crypto3:amd64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../4-libkrb5support0_1.20.1-6ubuntu2_amd64.deb ... Unpacking libkrb5support0:amd64 (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../5-libargon2-1_0~20190702+dfsg-4build1_amd64.deb ... Unpacking libargon2-1:amd64 (0~20190702+dfsg-4build1) over (0~20190702+dfsg-3) ... Preparing to unpack .../6-libblkid1_2.39.3-9ubuntu6_amd64.deb ... Unpacking libblkid1:amd64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libblkid1:amd64 (2.39.3-9ubuntu6) ... (Reading database ... 13653 files and directories currently installed.) Preparing to unpack .../libpcre2-8-0_10.42-4ubuntu2_amd64.deb ... Unpacking libpcre2-8-0:amd64 (10.42-4ubuntu2) over (10.42-4) ... Setting up libpcre2-8-0:amd64 (10.42-4ubuntu2) ... (Reading database ... 13653 files and directories currently installed.) Preparing to unpack .../libselinux1_3.5-2ubuntu2_amd64.deb ... Unpacking libselinux1:amd64 (3.5-2ubuntu2) over (3.5-1) ... Setting up libselinux1:amd64 (3.5-2ubuntu2) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libcap2_1%3a2.66-5ubuntu2_amd64.deb ... Unpacking libcap2:amd64 (1:2.66-5ubuntu2) over (1:2.66-4ubuntu1) ... Setting up libcap2:amd64 (1:2.66-5ubuntu2) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libudev1_256~rc1-1~exp2ubuntu1_amd64.deb ... Unpacking libudev1:amd64 (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... Setting up libudev1:amd64 (256~rc1-1~exp2ubuntu1) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libdevmapper1.02.1_2%3a1.02.185-3ubuntu3_amd64.deb ... Unpacking libdevmapper1.02.1:amd64 (2:1.02.185-3ubuntu3) over (2:1.02.185-2ubuntu1) ... Preparing to unpack .../libjson-c5_0.17-1build1_amd64.deb ... Unpacking libjson-c5:amd64 (0.17-1build1) over (0.17-1) ... Preparing to unpack .../libuuid1_2.39.3-9ubuntu6_amd64.deb ... Unpacking libuuid1:amd64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libuuid1:amd64 (2.39.3-9ubuntu6) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libcryptsetup12_2%3a2.7.0-1ubuntu4_amd64.deb ... Unpacking libcryptsetup12:amd64 (2:2.7.0-1ubuntu4) over (2:2.6.1-4ubuntu3) ... Preparing to unpack .../libacl1_2.3.2-2_amd64.deb ... Unpacking libacl1:amd64 (2.3.2-2) over (2.3.1-3) ... Setting up libacl1:amd64 (2.3.2-2) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libapparmor1_4.0.1-0ubuntu1_amd64.deb ... Unpacking libapparmor1:amd64 (4.0.1-0ubuntu1) over (4.0.0~alpha2-0ubuntu5) ... Preparing to unpack .../libaudit-common_1%3a3.1.2-2.1build1_all.deb ... Unpacking libaudit-common (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit-common (1:3.1.2-2.1build1) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.8.5-1_amd64.deb ... Unpacking libcap-ng0:amd64 (0.8.5-1) over (0.8.3-1build2) ... Setting up libcap-ng0:amd64 (0.8.5-1) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.1.2-2.1build1_amd64.deb ... Unpacking libaudit1:amd64 (1:3.1.2-2.1build1) over (1:3.1.1-1) ... Setting up libaudit1:amd64 (1:3.1.2-2.1build1) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libcrypt-dev_1%3a4.4.36-4build1_amd64.deb ... Unpacking libcrypt-dev:amd64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Preparing to unpack .../libcrypt1_1%3a4.4.36-4build1_amd64.deb ... Unpacking libcrypt1:amd64 (1:4.4.36-4build1) over (1:4.4.36-2) ... Setting up libcrypt1:amd64 (1:4.4.36-4build1) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../libmount1_2.39.3-9ubuntu6_amd64.deb ... Unpacking libmount1:amd64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libmount1:amd64 (2.39.3-9ubuntu6) ... (Reading database ... 13654 files and directories currently installed.) Preparing to unpack .../perl_5.38.2-4_amd64.deb ... Unpacking perl (5.38.2-4) over (5.36.0-9ubuntu1) ... Selecting previously unselected package perl-modules-5.38. Preparing to unpack .../perl-modules-5.38_5.38.2-4_all.deb ... Unpacking perl-modules-5.38 (5.38.2-4) ... dpkg: libdb5.3:amd64: dependency problems, but removing anyway as you requested: libperl5.36:amd64 depends on libdb5.3. libpam-modules:amd64 depends on libdb5.3. apt-utils depends on libdb5.3. (Reading database ... 15066 files and directories currently installed.) Removing libdb5.3:amd64 (5.3.28+dfsg2-2) ... Selecting previously unselected package libdb5.3t64:amd64. (Reading database ... 15060 files and directories currently installed.) Preparing to unpack .../libdb5.3t64_5.3.28+dfsg2-7_amd64.deb ... Unpacking libdb5.3t64:amd64 (5.3.28+dfsg2-7) ... Setting up libdb5.3t64:amd64 (5.3.28+dfsg2-7) ... dpkg: libgdbm6:amd64: dependency problems, but removing anyway as you requested: libperl5.36:amd64 depends on libgdbm6 (>= 1.21). libgdbm-compat4:amd64 depends on libgdbm6 (>= 1.16). (Reading database ... 15066 files and directories currently installed.) Removing libgdbm6:amd64 (1.23-3) ... Selecting previously unselected package libgdbm6t64:amd64. (Reading database ... 15061 files and directories currently installed.) Preparing to unpack .../libgdbm6t64_1.23-5.1build1_amd64.deb ... Unpacking libgdbm6t64:amd64 (1.23-5.1build1) ... dpkg: libgdbm-compat4:amd64: dependency problems, but removing anyway as you requested: libperl5.36:amd64 depends on libgdbm-compat4 (>= 1.18-3). (Reading database ... 15067 files and directories currently installed.) Removing libgdbm-compat4:amd64 (1.23-3) ... Selecting previously unselected package libgdbm-compat4t64:amd64. (Reading database ... 15062 files and directories currently installed.) Preparing to unpack .../libgdbm-compat4t64_1.23-5.1build1_amd64.deb ... Unpacking libgdbm-compat4t64:amd64 (1.23-5.1build1) ... Selecting previously unselected package libperl5.38t64:amd64. Preparing to unpack .../libperl5.38t64_5.38.2-4_amd64.deb ... Unpacking libperl5.38t64:amd64 (5.38.2-4) ... Preparing to unpack .../perl-base_5.38.2-4_amd64.deb ... Unpacking perl-base (5.38.2-4) over (5.36.0-9ubuntu1) ... Setting up perl-base (5.38.2-4) ... (Reading database ... 15593 files and directories currently installed.) Preparing to unpack .../0-liblocale-gettext-perl_1.07-6ubuntu5_amd64.deb ... Unpacking liblocale-gettext-perl (1.07-6ubuntu5) over (1.07-6) ... Preparing to unpack .../1-libtext-iconv-perl_1.7-8build3_amd64.deb ... Unpacking libtext-iconv-perl:amd64 (1.7-8build3) over (1.7-8) ... Preparing to unpack .../2-libtext-charwidth-perl_0.04-11build3_amd64.deb ... Unpacking libtext-charwidth-perl:amd64 (0.04-11build3) over (0.04-11) ... Preparing to unpack .../3-libnss-nisplus_1.3-5build1_amd64.deb ... Unpacking libnss-nisplus:amd64 (1.3-5build1) over (1.3-0ubuntu6) ... Preparing to unpack .../4-libnss-nis_3.1-0ubuntu7_amd64.deb ... Unpacking libnss-nis:amd64 (3.1-0ubuntu7) over (3.1-0ubuntu6) ... Preparing to unpack .../5-libc-dev-bin_2.39-0ubuntu8.1_amd64.deb ... Unpacking libc-dev-bin (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Preparing to unpack .../6-rpcsvc-proto_1.4.2-0ubuntu7_amd64.deb ... Unpacking rpcsvc-proto (1.4.2-0ubuntu7) over (1.4.2-0ubuntu6) ... Preparing to unpack .../7-libc6-dev_2.39-0ubuntu8.1_amd64.deb ... Unpacking libc6-dev:amd64 (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Preparing to unpack .../8-libc6_2.39-0ubuntu8.1_amd64.deb ... Unpacking libc6:amd64 (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Setting up libc6:amd64 (2.39-0ubuntu8.1) ... (Reading database ... 15601 files and directories currently installed.) Preparing to unpack .../libc-bin_2.39-0ubuntu8.1_amd64.deb ... Unpacking libc-bin (2.39-0ubuntu8.1) over (2.38-1ubuntu6) ... Setting up libc-bin (2.39-0ubuntu8.1) ... (Reading database ... 15601 files and directories currently installed.) Preparing to unpack .../openssl_3.0.13-0ubuntu3_amd64.deb ... Unpacking openssl (3.0.13-0ubuntu3) over (3.0.10-1ubuntu2) ... Preparing to unpack .../libsystemd-shared_256~rc1-1~exp2ubuntu1_amd64.deb ... Unpacking libsystemd-shared:amd64 (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... Preparing to unpack .../liblzma5_5.6.1+really5.4.5-1_amd64.deb ... Unpacking liblzma5:amd64 (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Setting up liblzma5:amd64 (5.6.1+really5.4.5-1) ... (Reading database ... 15600 files and directories currently installed.) Preparing to unpack .../libkmod2_31+20240202-2ubuntu7_amd64.deb ... Unpacking libkmod2:amd64 (31+20240202-2ubuntu7) over (30+20230519-1ubuntu3) ... dpkg: libssl3:amd64: dependency problems, but removing anyway as you requested: systemd depends on libssl3 (>= 3.0.0). (Reading database ... 15600 files and directories currently installed.) Removing libssl3:amd64 (3.0.10-1ubuntu2) ... Selecting previously unselected package libssl3t64:amd64. (Reading database ... 15589 files and directories currently installed.) Preparing to unpack .../libssl3t64_3.0.13-0ubuntu3_amd64.deb ... Unpacking libssl3t64:amd64 (3.0.13-0ubuntu3) ... Setting up libssl3t64:amd64 (3.0.13-0ubuntu3) ... (Reading database ... 15602 files and directories currently installed.) Preparing to unpack .../systemd-dev_256~rc1-1~exp2ubuntu1_all.deb ... Unpacking systemd-dev (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd-sysv_256~rc1-1~exp2ubuntu1_amd64.deb ... Unpacking systemd-sysv (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... Preparing to unpack .../systemd_256~rc1-1~exp2ubuntu1_amd64.deb ... Unpacking systemd (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... dpkg: warning: unable to delete old directory '/lib/systemd/system-preset': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system-generators': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@0.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user@.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/user-.slice.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/timers.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/systemd-localed.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sysinit.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/sockets.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rescue.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/rc-local.service.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/multi-user.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-fs.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/initrd-root-device.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/graphical.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/system/getty.target.wants': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/network': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd/journald.conf.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/modprobe.d': Directory not empty Preparing to unpack .../libsystemd0_256~rc1-1~exp2ubuntu1_amd64.deb ... Unpacking libsystemd0:amd64 (256~rc1-1~exp2ubuntu1) over (253.5-1ubuntu6) ... Setting up libsystemd0:amd64 (256~rc1-1~exp2ubuntu1) ... dpkg: libgnutls30:amd64: dependency problems, but removing anyway as you requested: apt depends on libgnutls30 (>= 3.7.5). (Reading database ... 15810 files and directories currently installed.) Removing libgnutls30:amd64 (3.8.1-4ubuntu1) ... Removing libhogweed6:amd64 (3.9.1-2) ... Removing libnettle8:amd64 (3.9.1-2) ... dpkg: libapt-pkg6.0:amd64: dependency problems, but removing anyway as you requested: apt-utils depends on libapt-pkg6.0 (>= 2.7.3). apt depends on libapt-pkg6.0 (>= 2.7.3). Removing libapt-pkg6.0:amd64 (2.7.3) ... Selecting previously unselected package libapt-pkg6.0t64:amd64. (Reading database ... 15739 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0t64_2.9.2_amd64.deb ... Unpacking libapt-pkg6.0t64:amd64 (2.9.2) ... Setting up libapt-pkg6.0t64:amd64 (2.9.2) ... Selecting previously unselected package libnettle8t64:amd64. (Reading database ... 15789 files and directories currently installed.) Preparing to unpack .../libnettle8t64_3.9.1-2.2build1_amd64.deb ... Unpacking libnettle8t64:amd64 (3.9.1-2.2build1) ... Setting up libnettle8t64:amd64 (3.9.1-2.2build1) ... Selecting previously unselected package libhogweed6t64:amd64. (Reading database ... 15797 files and directories currently installed.) Preparing to unpack .../libhogweed6t64_3.9.1-2.2build1_amd64.deb ... Unpacking libhogweed6t64:amd64 (3.9.1-2.2build1) ... Setting up libhogweed6t64:amd64 (3.9.1-2.2build1) ... (Reading database ... 15803 files and directories currently installed.) Preparing to unpack .../libp11-kit0_0.25.3-4ubuntu2_amd64.deb ... Unpacking libp11-kit0:amd64 (0.25.3-4ubuntu2) over (0.25.0-4ubuntu1) ... Setting up libp11-kit0:amd64 (0.25.3-4ubuntu2) ... Selecting previously unselected package libunistring5:amd64. (Reading database ... 15803 files and directories currently installed.) Preparing to unpack .../libunistring5_1.2-1_amd64.deb ... Unpacking libunistring5:amd64 (1.2-1) ... Setting up libunistring5:amd64 (1.2-1) ... Selecting previously unselected package libgnutls30t64:amd64. (Reading database ... 15808 files and directories currently installed.) Preparing to unpack .../libgnutls30t64_3.8.3-1.1ubuntu3.1_amd64.deb ... Unpacking libgnutls30t64:amd64 (3.8.3-1.1ubuntu3.1) ... Setting up libgnutls30t64:amd64 (3.8.3-1.1ubuntu3.1) ... (Reading database ... 15820 files and directories currently installed.) Preparing to unpack .../archives/apt_2.9.2_amd64.deb ... Unpacking apt (2.9.2) over (2.7.3) ... Setting up apt (2.9.2) ... (Reading database ... 15820 files and directories currently installed.) Preparing to unpack .../apt-utils_2.9.2_amd64.deb ... Unpacking apt-utils (2.9.2) over (2.7.3) ... Preparing to unpack .../libpam-modules-bin_1.5.3-7ubuntu1_amd64.deb ... Unpacking libpam-modules-bin (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam-modules-bin (1.5.3-7ubuntu1) ... (Reading database ... 15819 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.5.3-7ubuntu1_amd64.deb ... Unpacking libpam-modules:amd64 (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/x86_64-linux-gnu/security': Directory not empty Setting up libpam-modules:amd64 (1.5.3-7ubuntu1) ... Installing new version of config file /etc/security/namespace.init ... (Reading database ... 15819 files and directories currently installed.) Preparing to unpack .../bzip2_1.0.8-5.1_amd64.deb ... Unpacking bzip2 (1.0.8-5.1) over (1.0.8-5build1) ... dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bzcat' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bunzip2' and '/usr/bin/bzcat') dpkg: warning: old file '/bin/bunzip2' is the same as several new files! (both '/usr/bin/bzcat' and '/usr/bin/bzip2') Preparing to unpack .../libbz2-1.0_1.0.8-5.1_amd64.deb ... Unpacking libbz2-1.0:amd64 (1.0.8-5.1) over (1.0.8-5build1) ... Setting up libbz2-1.0:amd64 (1.0.8-5.1) ... Selecting previously unselected package gcc-14-base:amd64. (Reading database ... 15819 files and directories currently installed.) Preparing to unpack .../gcc-14-base_14-20240429-1ubuntu1_amd64.deb ... Unpacking gcc-14-base:amd64 (14-20240429-1ubuntu1) ... Setting up gcc-14-base:amd64 (14-20240429-1ubuntu1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libgcc-s1_14-20240429-1ubuntu1_amd64.deb ... Unpacking libgcc-s1:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libgcc-s1:amd64 (14-20240429-1ubuntu1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libgpg-error-l10n_1.47-3build2_all.deb ... Unpacking libgpg-error-l10n (1.47-3build2) over (1.47-2) ... Preparing to unpack .../libgpg-error0_1.47-3build2_amd64.deb ... Unpacking libgpg-error0:amd64 (1.47-3build2) over (1.47-2) ... Setting up libgpg-error0:amd64 (1.47-3build2) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.10.3-2build1_amd64.deb ... Unpacking libgcrypt20:amd64 (1.10.3-2build1) over (1.10.2-3ubuntu1) ... Setting up libgcrypt20:amd64 (1.10.3-2build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../liblz4-1_1.9.4-2_amd64.deb ... Unpacking liblz4-1:amd64 (1.9.4-2) over (1.9.4-1) ... Setting up liblz4-1:amd64 (1.9.4-2) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libstdc++6_14-20240429-1ubuntu1_amd64.deb ... Unpacking libstdc++6:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Setting up libstdc++6:amd64 (14-20240429-1ubuntu1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libxxhash0_0.8.2-2build1_amd64.deb ... Unpacking libxxhash0:amd64 (0.8.2-2build1) over (0.8.1-1) ... Setting up libxxhash0:amd64 (0.8.2-2build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libzstd1_1.5.5+dfsg2-2build1_amd64.deb ... Unpacking libzstd1:amd64 (1.5.5+dfsg2-2build1) over (1.5.5+dfsg2-1ubuntu2) ... Setting up libzstd1:amd64 (1.5.5+dfsg2-2build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.3.dfsg-3.1ubuntu2_amd64.deb ... Unpacking zlib1g:amd64 (1:1.3.dfsg-3.1ubuntu2) over (1:1.2.13.dfsg-1ubuntu5) ... Setting up zlib1g:amd64 (1:1.3.dfsg-3.1ubuntu2) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libgmp10_2%3a6.3.0+dfsg-2ubuntu6_amd64.deb ... Unpacking libgmp10:amd64 (2:6.3.0+dfsg-2ubuntu6) over (2:6.3.0+dfsg-2ubuntu4) ... Setting up libgmp10:amd64 (2:6.3.0+dfsg-2ubuntu6) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libffi8_3.4.6-1build1_amd64.deb ... Unpacking libffi8:amd64 (3.4.6-1build1) over (3.4.4-1) ... Setting up libffi8:amd64 (3.4.6-1build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libidn2-0_2.3.7-2build1_amd64.deb ... Unpacking libidn2-0:amd64 (2.3.7-2build1) over (2.3.4-1) ... Setting up libidn2-0:amd64 (2.3.7-2build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libtasn1-6_4.19.0-3build1_amd64.deb ... Unpacking libtasn1-6:amd64 (4.19.0-3build1) over (4.19.0-3) ... Setting up libtasn1-6:amd64 (4.19.0-3build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libdebconfclient0_0.271ubuntu3_amd64.deb ... Unpacking libdebconfclient0:amd64 (0.271ubuntu3) over (0.270ubuntu1) ... Setting up libdebconfclient0:amd64 (0.271ubuntu3) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../base-passwd_3.6.3build1_amd64.deb ... Unpacking base-passwd (3.6.3build1) over (3.6.1) ... Setting up base-passwd (3.6.3build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libassuan0_2.5.6-1build1_amd64.deb ... Unpacking libassuan0:amd64 (2.5.6-1build1) over (2.5.6-1) ... Setting up libassuan0:amd64 (2.5.6-1build1) ... (Reading database ... 15824 files and directories currently installed.) Preparing to unpack .../libsqlite3-0_3.45.3-1_amd64.deb ... Unpacking libsqlite3-0:amd64 (3.45.3-1) over (3.42.0-1) ... Preparing to unpack .../gpg_2.4.4-2ubuntu17_amd64.deb ... Unpacking gpg (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... dpkg: libreadline8:amd64: dependency problems, but removing anyway as you requested: gpgconf depends on libreadline8 (>= 6.0). (Reading database ... 15824 files and directories currently installed.) Removing libreadline8:amd64 (8.2-1.3) ... Selecting previously unselected package libreadline8t64:amd64. (Reading database ... 15812 files and directories currently installed.) Preparing to unpack .../libreadline8t64_8.2-4build1_amd64.deb ... Adding 'diversion of /lib/x86_64-linux-gnu/libhistory.so.8 to /lib/x86_64-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libhistory.so.8.2 to /lib/x86_64-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libreadline.so.8 to /lib/x86_64-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libreadline.so.8.2 to /lib/x86_64-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:amd64 (8.2-4build1) ... Preparing to unpack .../readline-common_8.2-4build1_all.deb ... Unpacking readline-common (8.2-4build1) over (8.2-1.3) ... Preparing to unpack .../libncursesw6_6.4+20240113-1ubuntu2_amd64.deb ... Unpacking libncursesw6:amd64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Preparing to unpack .../libtinfo6_6.4+20240113-1ubuntu2_amd64.deb ... Unpacking libtinfo6:amd64 (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up libtinfo6:amd64 (6.4+20240113-1ubuntu2) ... (Reading database ... 15832 files and directories currently installed.) Preparing to unpack .../gpg-agent_2.4.4-2ubuntu17_amd64.deb ... Unpacking gpg-agent (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../gpgconf_2.4.4-2ubuntu17_amd64.deb ... Unpacking gpgconf (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Preparing to unpack .../pinentry-curses_1.2.1-3ubuntu5_amd64.deb ... Unpacking pinentry-curses (1.2.1-3ubuntu5) over (1.2.1-1ubuntu1) ... Preparing to unpack .../init-system-helpers_1.66ubuntu1_all.deb ... Unpacking init-system-helpers (1.66ubuntu1) over (1.65.2ubuntu1) ... Setting up init-system-helpers (1.66ubuntu1) ... (Reading database ... 15831 files and directories currently installed.) Removing libnpth0:amd64 (1.6-3build2) ... Selecting previously unselected package libnpth0t64:amd64. (Reading database ... 15826 files and directories currently installed.) Preparing to unpack .../libnpth0t64_1.6-3.1build1_amd64.deb ... Unpacking libnpth0t64:amd64 (1.6-3.1build1) ... Setting up libnpth0t64:amd64 (1.6-3.1build1) ... (Reading database ... 15832 files and directories currently installed.) Preparing to unpack .../gpgv_2.4.4-2ubuntu17_amd64.deb ... Unpacking gpgv (2.4.4-2ubuntu17) over (2.2.40-1.1ubuntu1) ... Setting up gpgv (2.4.4-2ubuntu17) ... (Reading database ... 15832 files and directories currently installed.) Preparing to unpack .../ubuntu-keyring_2023.11.28.1_all.deb ... Unpacking ubuntu-keyring (2023.11.28.1) over (2021.03.26) ... Setting up ubuntu-keyring (2023.11.28.1) ... (Reading database ... 15832 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.5.5-1ubuntu3_amd64.deb ... Unpacking libseccomp2:amd64 (2.5.5-1ubuntu3) over (2.5.4-1ubuntu3) ... Setting up libseccomp2:amd64 (2.5.5-1ubuntu3) ... (Reading database ... 15832 files and directories currently installed.) Preparing to unpack .../debconf-i18n_1.5.86ubuntu1_all.deb ... Unpacking debconf-i18n (1.5.86ubuntu1) over (1.5.82) ... Preparing to unpack .../debconf_1.5.86ubuntu1_all.deb ... Unpacking debconf (1.5.86ubuntu1) over (1.5.82) ... Setting up debconf (1.5.86ubuntu1) ... Installing new version of config file /etc/debconf.conf ... (Reading database ... 15831 files and directories currently installed.) Preparing to unpack .../libpam0g_1.5.3-7ubuntu1_amd64.deb ... Unpacking libpam0g:amd64 (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam0g:amd64 (1.5.3-7ubuntu1) ... (Reading database ... 15830 files and directories currently installed.) Preparing to unpack .../0-libfdisk1_2.39.3-9ubuntu6_amd64.deb ... Unpacking libfdisk1:amd64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../1-mount_2.39.3-9ubuntu6_amd64.deb ... Unpacking mount (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../2-libcom-err2_1.47.0-2.4~exp1ubuntu4_amd64.deb ... Unpacking libcom-err2:amd64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../3-libkeyutils1_1.6.3-3build1_amd64.deb ... Unpacking libkeyutils1:amd64 (1.6.3-3build1) over (1.6.3-2) ... Preparing to unpack .../4-linux-libc-dev_6.8.0-31.31_amd64.deb ... Unpacking linux-libc-dev:amd64 (6.8.0-31.31) over (6.5.0-9.9) ... Preparing to unpack .../5-base-files_13.1ubuntu1_amd64.deb ... Unpacking base-files (13.1ubuntu1) over (13ubuntu3) ... Setting up base-files (13.1ubuntu1) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... Installing new version of config file /etc/update-motd.d/10-help-text ... (Reading database ... 15847 files and directories currently installed.) Preparing to unpack .../debianutils_5.17build1_amd64.deb ... Unpacking debianutils (5.17build1) over (5.8-1) ... Setting up debianutils (5.17build1) ... (Reading database ... 15846 files and directories currently installed.) Preparing to unpack .../bash_5.2.21-2ubuntu4_amd64.deb ... Unpacking bash (5.2.21-2ubuntu4) over (5.2.15-2ubuntu1) ... Setting up bash (5.2.21-2ubuntu4) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 15846 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.39.3-9ubuntu6_amd64.deb ... Unpacking bsdutils (1:2.39.3-9ubuntu6) over (1:2.39.1-4ubuntu2) ... Setting up bsdutils (1:2.39.3-9ubuntu6) ... (Reading database ... 15846 files and directories currently installed.) Removing usrmerge (35ubuntu1) ... (Reading database ... 15820 files and directories currently installed.) Preparing to unpack .../coreutils_9.4-3ubuntu6_amd64.deb ... Unpacking coreutils (9.4-3ubuntu6) over (9.1-1ubuntu2) ... Setting up coreutils (9.4-3ubuntu6) ... (Reading database ... 15825 files and directories currently installed.) Preparing to unpack .../tar_1.35+dfsg-3build1_amd64.deb ... Unpacking tar (1.35+dfsg-3build1) over (1.34+dfsg-1.2ubuntu1) ... Setting up tar (1.35+dfsg-3build1) ... (Reading database ... 15825 files and directories currently installed.) Preparing to unpack .../dpkg_1.22.6ubuntu10_amd64.deb ... Unpacking dpkg (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Setting up dpkg (1.22.6ubuntu10) ... (Reading database ... 15823 files and directories currently installed.) Preparing to unpack .../dash_0.5.12-6ubuntu5_amd64.deb ... Unpacking dash (0.5.12-6ubuntu5) over (0.5.12-6ubuntu1) ... Setting up dash (0.5.12-6ubuntu5) ... (Reading database ... 15822 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.10-1build1_amd64.deb ... Unpacking diffutils (1:3.10-1build1) over (1:3.8-4) ... Setting up diffutils (1:3.10-1build1) ... (Reading database ... 15822 files and directories currently installed.) Preparing to unpack .../findutils_4.9.0-5build1_amd64.deb ... Unpacking findutils (4.9.0-5build1) over (4.9.0-5) ... Setting up findutils (4.9.0-5build1) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../grep_3.11-4build1_amd64.deb ... Unpacking grep (3.11-4build1) over (3.11-2) ... Setting up grep (3.11-4build1) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../gzip_1.12-1ubuntu3_amd64.deb ... Unpacking gzip (1.12-1ubuntu3) over (1.12-1ubuntu1) ... dpkg: warning: old file '/bin/uncompress' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') dpkg: warning: old file '/bin/gunzip' is the same as several new files! (both '/usr/bin/gunzip' and '/usr/bin/uncompress') Setting up gzip (1.12-1ubuntu3) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../hostname_3.23+nmu2ubuntu2_amd64.deb ... Unpacking hostname (3.23+nmu2ubuntu2) over (3.23+nmu1ubuntu1) ... Setting up hostname (3.23+nmu2ubuntu2) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../login_1%3a4.13+dfsg1-4ubuntu3_amd64.deb ... Unpacking login (1:4.13+dfsg1-4ubuntu3) over (1:4.13+dfsg1-1ubuntu1) ... Setting up login (1:4.13+dfsg1-4ubuntu3) ... Installing new version of config file /etc/login.defs ... Installing new version of config file /etc/pam.d/login ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../ncurses-bin_6.4+20240113-1ubuntu2_amd64.deb ... Unpacking ncurses-bin (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-bin (6.4+20240113-1ubuntu2) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../sed_4.9-2build1_amd64.deb ... Unpacking sed (4.9-2build1) over (4.9-1) ... Setting up sed (4.9-2build1) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../util-linux_2.39.3-9ubuntu6_amd64.deb ... Unpacking util-linux (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up util-linux (2.39.3-9ubuntu6) ... (Reading database ... 15823 files and directories currently installed.) Preparing to unpack .../ncurses-base_6.4+20240113-1ubuntu2_all.deb ... Unpacking ncurses-base (6.4+20240113-1ubuntu2) over (6.4+20230625-2) ... Setting up ncurses-base (6.4+20240113-1ubuntu2) ... (Reading database ... 15823 files and directories currently installed.) Preparing to unpack .../sysvinit-utils_3.08-6ubuntu3_amd64.deb ... Unpacking sysvinit-utils (3.08-6ubuntu3) over (3.07-1ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/lsb/init-functions.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/lsb': Directory not empty dpkg: warning: unable to delete old directory '/lib/init': Directory not empty Setting up sysvinit-utils (3.08-6ubuntu3) ... (Reading database ... 15821 files and directories currently installed.) Preparing to unpack .../logsave_1.47.0-2.4~exp1ubuntu4_amd64.deb ... Unpacking logsave (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: libext2fs2:amd64: dependency problems, but removing anyway as you requested: e2fsprogs depends on libext2fs2 (= 1.47.0-2ubuntu1). (Reading database ... 15821 files and directories currently installed.) Removing libext2fs2:amd64 (1.47.0-2ubuntu1) ... Selecting previously unselected package libext2fs2t64:amd64. (Reading database ... 15814 files and directories currently installed.) Preparing to unpack .../libext2fs2t64_1.47.0-2.4~exp1ubuntu4_amd64.deb ... Adding 'diversion of /lib/x86_64-linux-gnu/libe2p.so.2 to /lib/x86_64-linux-gnu/libe2p.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/x86_64-linux-gnu/libe2p.so.2.3 to /lib/x86_64-linux-gnu/libe2p.so.2.3.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/x86_64-linux-gnu/libext2fs.so.2 to /lib/x86_64-linux-gnu/libext2fs.so.2.usr-is-merged by libext2fs2t64' Adding 'diversion of /lib/x86_64-linux-gnu/libext2fs.so.2.4 to /lib/x86_64-linux-gnu/libext2fs.so.2.4.usr-is-merged by libext2fs2t64' Unpacking libext2fs2t64:amd64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libcom-err2:amd64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libext2fs2t64:amd64 (1.47.0-2.4~exp1ubuntu4) ... (Reading database ... 15830 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.47.0-2.4~exp1ubuntu4_amd64.deb ... Unpacking e2fsprogs (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... dpkg: warning: unable to delete old directory '/lib/udev/rules.d': Directory not empty dpkg: warning: unable to delete old directory '/lib/udev': Directory not empty Preparing to unpack .../optipng_0.7.8+ds-1build2_amd64.deb ... Unpacking optipng (0.7.8+ds-1build2) over (0.7.7-2build1) ... (Reading database ... 15828 files and directories currently installed.) Removing libpng16-16:amd64 (1.6.40-1) ... Selecting previously unselected package libpng16-16t64:amd64. (Reading database ... 15818 files and directories currently installed.) Preparing to unpack .../libpng16-16t64_1.6.43-5build1_amd64.deb ... Unpacking libpng16-16t64:amd64 (1.6.43-5build1) ... Setting up libapparmor1:amd64 (4.0.1-0ubuntu1) ... Setting up libargon2-1:amd64 (0~20190702+dfsg-4build1) ... Setting up libdevmapper1.02.1:amd64 (2:1.02.185-3ubuntu3) ... Setting up libjson-c5:amd64 (0.17-1build1) ... Setting up libcryptsetup12:amd64 (2:2.7.0-1ubuntu4) ... Setting up libfdisk1:amd64 (2.39.3-9ubuntu6) ... Setting up libsystemd-shared:amd64 (256~rc1-1~exp2ubuntu1) ... Setting up systemd-dev (256~rc1-1~exp2ubuntu1) ... Setting up mount (2.39.3-9ubuntu6) ... Setting up systemd (256~rc1-1~exp2ubuntu1) ... Installing new version of config file /etc/systemd/journald.conf ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/networkd.conf ... Installing new version of config file /etc/systemd/pstore.conf ... Installing new version of config file /etc/systemd/sleep.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up systemd-sysv (256~rc1-1~exp2ubuntu1) ... (Reading database ... 15829 files and directories currently installed.) Preparing to unpack .../init_1.66ubuntu1_amd64.deb ... Unpacking init (1.66ubuntu1) over (1.65.2ubuntu1) ... Preparing to unpack .../libsmartcols1_2.39.3-9ubuntu6_amd64.deb ... Unpacking libsmartcols1:amd64 (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Setting up libsmartcols1:amd64 (2.39.3-9ubuntu6) ... (Reading database ... 15830 files and directories currently installed.) Preparing to unpack .../uuid-runtime_2.39.3-9ubuntu6_amd64.deb ... Unpacking uuid-runtime (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... dpkg: warning: unable to delete old directory '/lib/systemd/system': Directory not empty dpkg: warning: unable to delete old directory '/lib/systemd': Directory not empty Preparing to unpack .../libattr1_1%3a2.5.2-1build1_amd64.deb ... Unpacking libattr1:amd64 (1:2.5.2-1build1) over (1:2.5.1-4) ... Setting up libattr1:amd64 (1:2.5.2-1build1) ... (Reading database ... 15828 files and directories currently installed.) Preparing to unpack .../libmd0_1.1.0-2build1_amd64.deb ... Unpacking libmd0:amd64 (1.1.0-2build1) over (1.1.0-1) ... Setting up libmd0:amd64 (1.1.0-2build1) ... (Reading database ... 15828 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.5.3-7ubuntu1_all.deb ... Unpacking libpam-runtime (1.5.3-7ubuntu1) over (1.5.2-6ubuntu1) ... Setting up libpam-runtime (1.5.3-7ubuntu1) ... (Reading database ... 15827 files and directories currently installed.) Preparing to unpack .../libsemanage-common_3.5-1build5_all.deb ... Unpacking libsemanage-common (3.5-1build5) over (3.5-1) ... Setting up libsemanage-common (3.5-1build5) ... (Reading database ... 15827 files and directories currently installed.) Preparing to unpack .../libsepol2_3.5-2build1_amd64.deb ... Unpacking libsepol2:amd64 (3.5-2build1) over (3.5-1) ... Setting up libsepol2:amd64 (3.5-2build1) ... (Reading database ... 15827 files and directories currently installed.) Preparing to unpack .../libsemanage2_3.5-1build5_amd64.deb ... Unpacking libsemanage2:amd64 (3.5-1build5) over (3.5-1) ... Setting up libsemanage2:amd64 (3.5-1build5) ... (Reading database ... 15827 files and directories currently installed.) Preparing to unpack .../passwd_1%3a4.13+dfsg1-4ubuntu3_amd64.deb ... Unpacking passwd (1:4.13+dfsg1-4ubuntu3) over (1:4.13+dfsg1-1ubuntu1) ... Setting up passwd (1:4.13+dfsg1-4ubuntu3) ... (Reading database ... 15827 files and directories currently installed.) Preparing to unpack .../00-libproc2-0_2%3a4.0.4-4ubuntu3_amd64.deb ... Unpacking libproc2-0:amd64 (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../01-libss2_1.47.0-2.4~exp1ubuntu4_amd64.deb ... Unpacking libss2:amd64 (1.47.0-2.4~exp1ubuntu4) over (1.47.0-2ubuntu1) ... Preparing to unpack .../02-mawk_1.3.4.20240123-1build1_amd64.deb ... Unpacking mawk (1.3.4.20240123-1build1) over (1.3.4.20230730-1) ... Preparing to unpack .../03-procps_2%3a4.0.4-4ubuntu3_amd64.deb ... Unpacking procps (2:4.0.4-4ubuntu3) over (2:4.0.3-1ubuntu1) ... Preparing to unpack .../04-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) over (0.0.20) ... Preparing to unpack .../05-ca-certificates_20240203_all.deb ... Unpacking ca-certificates (20240203) over (20230311ubuntu1) ... Preparing to unpack .../06-krb5-locales_1.20.1-6ubuntu2_all.deb ... Unpacking krb5-locales (1.20.1-6ubuntu2) over (1.20.1-3ubuntu1) ... Preparing to unpack .../07-tzdata_2024a-4ubuntu1_all.deb ... Unpacking tzdata (2024a-4ubuntu1) over (2023c-9ubuntu1) ... Preparing to unpack .../08-bash-completion_1%3a2.13.0-1_all.deb ... Unpacking bash-completion (1:2.13.0-1) over (1:2.11-7) ... Preparing to unpack .../09-bsdextrautils_2.39.3-9ubuntu6_amd64.deb ... Unpacking bsdextrautils (2.39.3-9ubuntu6) over (2.39.1-4ubuntu2) ... Preparing to unpack .../10-libgpm2_1.20.7-11_amd64.deb ... Unpacking libgpm2:amd64 (1.20.7-11) over (1.20.7-10build1) ... Preparing to unpack .../11-libip4tc2_1.8.10-3ubuntu2_amd64.deb ... Unpacking libip4tc2:amd64 (1.8.10-3ubuntu2) over (1.8.9-2ubuntu2) ... Preparing to unpack .../12-libjansson4_2.14-2build2_amd64.deb ... Unpacking libjansson4:amd64 (2.14-2build2) over (2.14-2) ... Preparing to unpack .../13-psmisc_23.7-1build1_amd64.deb ... Unpacking psmisc (23.7-1build1) over (23.6-1) ... Preparing to unpack .../14-xz-utils_5.6.1+really5.4.5-1_amd64.deb ... Unpacking xz-utils (5.6.1+really5.4.5-1) over (5.4.1-0.2) ... Preparing to unpack .../15-advancecomp_2.5-1build1_amd64.deb ... Unpacking advancecomp (2.5-1build1) over (2.5-1) ... Preparing to unpack .../16-libgprofng0_2.42-4ubuntu2_amd64.deb ... Unpacking libgprofng0:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../17-libctf0_2.42-4ubuntu2_amd64.deb ... Unpacking libctf0:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../18-libctf-nobfd0_2.42-4ubuntu2_amd64.deb ... Unpacking libctf-nobfd0:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../19-binutils-x86-64-linux-gnu_2.42-4ubuntu2_amd64.deb ... Unpacking binutils-x86-64-linux-gnu (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../20-libbinutils_2.42-4ubuntu2_amd64.deb ... Unpacking libbinutils:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../21-binutils_2.42-4ubuntu2_amd64.deb ... Unpacking binutils (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../22-binutils-common_2.42-4ubuntu2_amd64.deb ... Unpacking binutils-common:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../23-libsframe1_2.42-4ubuntu2_amd64.deb ... Unpacking libsframe1:amd64 (2.42-4ubuntu2) over (2.41-5ubuntu1) ... Preparing to unpack .../24-libubsan1_14-20240429-1ubuntu1_amd64.deb ... Unpacking libubsan1:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../25-g++-13_13.2.0-24ubuntu1_amd64.deb ... Unpacking g++-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../26-libstdc++-13-dev_13.2.0-24ubuntu1_amd64.deb ... Unpacking libstdc++-13-dev:amd64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../27-libgomp1_14-20240429-1ubuntu1_amd64.deb ... Unpacking libgomp1:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../28-libitm1_14-20240429-1ubuntu1_amd64.deb ... Unpacking libitm1:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../29-libatomic1_14-20240429-1ubuntu1_amd64.deb ... Unpacking libatomic1:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../30-libasan8_14-20240429-1ubuntu1_amd64.deb ... Unpacking libasan8:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../31-liblsan0_14-20240429-1ubuntu1_amd64.deb ... Unpacking liblsan0:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../32-libhwasan0_14-20240429-1ubuntu1_amd64.deb ... Unpacking libhwasan0:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../33-libquadmath0_14-20240429-1ubuntu1_amd64.deb ... Unpacking libquadmath0:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../34-gcc-13_13.2.0-24ubuntu1_amd64.deb ... Unpacking gcc-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../35-libgcc-13-dev_13.2.0-24ubuntu1_amd64.deb ... Unpacking libgcc-13-dev:amd64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../36-libtsan2_14-20240429-1ubuntu1_amd64.deb ... Unpacking libtsan2:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../37-libcc1-0_14-20240429-1ubuntu1_amd64.deb ... Unpacking libcc1-0:amd64 (14-20240429-1ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../38-cpp-13_13.2.0-24ubuntu1_amd64.deb ... Unpacking cpp-13 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../39-gcc-13-base_13.2.0-24ubuntu1_amd64.deb ... Unpacking gcc-13-base:amd64 (13.2.0-24ubuntu1) over (13.2.0-4ubuntu3) ... Preparing to unpack .../40-libisl23_0.26-3build1_amd64.deb ... Unpacking libisl23:amd64 (0.26-3build1) over (0.26-3) ... Preparing to unpack .../41-libmpfr6_4.2.1-1build1_amd64.deb ... Unpacking libmpfr6:amd64 (4.2.1-1build1) over (4.2.1-1) ... Preparing to unpack .../42-libmpc3_1.3.1-1build1_amd64.deb ... Unpacking libmpc3:amd64 (1.3.1-1build1) over (1.3.1-1) ... Selecting previously unselected package gcc-13-x86-64-linux-gnu. Preparing to unpack .../43-gcc-13-x86-64-linux-gnu_13.2.0-24ubuntu1_amd64.deb ... Unpacking gcc-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Selecting previously unselected package g++-13-x86-64-linux-gnu. Preparing to unpack .../44-g++-13-x86-64-linux-gnu_13.2.0-24ubuntu1_amd64.deb ... Unpacking g++-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Selecting previously unselected package cpp-13-x86-64-linux-gnu. Preparing to unpack .../45-cpp-13-x86-64-linux-gnu_13.2.0-24ubuntu1_amd64.deb ... Unpacking cpp-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Preparing to unpack .../46-g++_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking g++ (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../47-gcc_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking gcc (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Preparing to unpack .../48-cpp_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking cpp (4:13.2.0-7ubuntu1) over (4:13.2.0-1ubuntu1) ... Selecting previously unselected package cpp-x86-64-linux-gnu. Preparing to unpack .../49-cpp-x86-64-linux-gnu_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking cpp-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package gcc-x86-64-linux-gnu. Preparing to unpack .../50-gcc-x86-64-linux-gnu_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking gcc-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Selecting previously unselected package g++-x86-64-linux-gnu. Preparing to unpack .../51-g++-x86-64-linux-gnu_4%3a13.2.0-7ubuntu1_amd64.deb ... Unpacking g++-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Preparing to unpack .../52-dpkg-dev_1.22.6ubuntu10_all.deb ... Unpacking dpkg-dev (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Preparing to unpack .../53-libdpkg-perl_1.22.6ubuntu10_all.deb ... Unpacking libdpkg-perl (1.22.6ubuntu10) over (1.22.0ubuntu1) ... Preparing to unpack .../54-patch_2.7.6-7build3_amd64.deb ... Unpacking patch (2.7.6-7build3) over (2.7.6-7build2) ... Preparing to unpack .../55-make_4.3-4.1build2_amd64.deb ... Unpacking make (4.3-4.1build2) over (4.3-4.1build1) ... Preparing to unpack .../56-lto-disabled-list_48_all.deb ... Unpacking lto-disabled-list (48) over (43) ... Preparing to unpack .../57-libfakeroot_1.34-1_amd64.deb ... Unpacking libfakeroot:amd64 (1.34-1) over (1.32.1-1) ... Preparing to unpack .../58-fakeroot_1.34-1_amd64.deb ... Unpacking fakeroot (1.34-1) over (1.32.1-1) ... Preparing to unpack .../59-liblockfile-bin_1.17-1build3_amd64.deb ... Unpacking liblockfile-bin (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../60-liblockfile1_1.17-1build3_amd64.deb ... Unpacking liblockfile1:amd64 (1.17-1build3) over (1.17-1build2) ... Preparing to unpack .../61-lockfile-progs_0.1.19+nmu1_amd64.deb ... Unpacking lockfile-progs (0.1.19+nmu1) over (0.1.19build1) ... Setting up libip4tc2:amd64 (1.8.10-3ubuntu2) ... Setting up libtext-iconv-perl:amd64 (1.7-8build3) ... Setting up libtext-charwidth-perl:amd64 (0.04-11build3) ... Setting up libkeyutils1:amd64 (1.6.3-3build1) ... Setting up lto-disabled-list (48) ... Setting up apt-utils (2.9.2) ... Setting up libgpm2:amd64 (1.20.7-11) ... Setting up liblockfile-bin (1.17-1build3) ... Setting up libgdbm6t64:amd64 (1.23-5.1build1) ... Setting up bsdextrautils (2.39.3-9ubuntu6) ... Setting up init (1.66ubuntu1) ... Setting up libgdbm-compat4t64:amd64 (1.23-5.1build1) ... Setting up psmisc (23.7-1build1) ... Setting up libtirpc-common (1.3.4+ds-1.3) ... Setting up libsqlite3-0:amd64 (3.45.3-1) ... Setting up binutils-common:amd64 (2.42-4ubuntu2) ... Installing new version of config file /etc/gprofng.rc ... Setting up linux-libc-dev:amd64 (6.8.0-31.31) ... Setting up libctf-nobfd0:amd64 (2.42-4ubuntu2) ... Setting up krb5-locales (1.20.1-6ubuntu2) ... Setting up libgomp1:amd64 (14-20240429-1ubuntu1) ... Setting up bzip2 (1.0.8-5.1) ... Setting up libsframe1:amd64 (2.42-4ubuntu2) ... Setting up libfakeroot:amd64 (1.34-1) ... Setting up libjansson4:amd64 (2.14-2build2) ... Setting up libkrb5support0:amd64 (1.20.1-6ubuntu2) ... Setting up tzdata (2024a-4ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Fri May 10 23:16:12 UTC 2024. Universal Time is now: Fri May 10 23:16:12 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up fakeroot (1.34-1) ... Setting up rpcsvc-proto (1.4.2-0ubuntu7) ... Setting up gcc-13-base:amd64 (13.2.0-24ubuntu1) ... Setting up make (4.3-4.1build2) ... Setting up libmpfr6:amd64 (4.2.1-1build1) ... Setting up bash-completion (1:2.13.0-1) ... Installing new version of config file /etc/profile.d/bash_completion.sh ... Setting up xz-utils (5.6.1+really5.4.5-1) ... Setting up perl-modules-5.38 (5.38.2-4) ... Setting up libquadmath0:amd64 (14-20240429-1ubuntu1) ... Setting up libproc2-0:amd64 (2:4.0.4-4ubuntu3) ... Setting up libpng16-16t64:amd64 (1.6.43-5build1) ... Setting up libmpc3:amd64 (1.3.1-1build1) ... Setting up libatomic1:amd64 (14-20240429-1ubuntu1) ... Setting up patch (2.7.6-7build3) ... Setting up libss2:amd64 (1.47.0-2.4~exp1ubuntu4) ... Setting up libncursesw6:amd64 (6.4+20240113-1ubuntu2) ... Setting up libk5crypto3:amd64 (1.20.1-6ubuntu2) ... Setting up logsave (1.47.0-2.4~exp1ubuntu4) ... Setting up libubsan1:amd64 (14-20240429-1ubuntu1) ... Setting up advancecomp (2.5-1build1) ... Setting up sensible-utils (0.0.22) ... Setting up uuid-runtime (2.39.3-9ubuntu6) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of restart. Setting up libhwasan0:amd64 (14-20240429-1ubuntu1) ... Setting up libcrypt-dev:amd64 (1:4.4.36-4build1) ... Setting up libasan8:amd64 (14-20240429-1ubuntu1) ... Setting up procps (2:4.0.4-4ubuntu3) ... Installing new version of config file /etc/sysctl.conf ... Setting up mawk (1.3.4.20240123-1build1) ... Setting up libkrb5-3:amd64 (1.20.1-6ubuntu2) ... Setting up liblockfile1:amd64 (1.17-1build3) ... Setting up libperl5.38t64:amd64 (5.38.2-4) ... Setting up libtsan2:amd64 (14-20240429-1ubuntu1) ... Setting up libbinutils:amd64 (2.42-4ubuntu2) ... Setting up libisl23:amd64 (0.26-3build1) ... Setting up libc-dev-bin (2.39-0ubuntu8.1) ... Setting up openssl (3.0.13-0ubuntu3) ... Setting up libgpg-error-l10n (1.47-3build2) ... Setting up readline-common (8.2-4build1) ... Setting up libcc1-0:amd64 (14-20240429-1ubuntu1) ... Setting up liblocale-gettext-perl (1.07-6ubuntu5) ... Setting up liblsan0:amd64 (14-20240429-1ubuntu1) ... Setting up libitm1:amd64 (14-20240429-1ubuntu1) ... Setting up libkmod2:amd64 (31+20240202-2ubuntu7) ... Setting up libctf0:amd64 (2.42-4ubuntu2) ... Setting up pinentry-curses (1.2.1-3ubuntu5) ... Setting up cpp-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Setting up debconf-i18n (1.5.86ubuntu1) ... Setting up e2fsprogs (1.47.0-2.4~exp1ubuntu4) ... Setting up ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... rehash: warning: skipping ca-certificates.crt,it does not contain exactly one certificate or CRL 14 added, 5 removed; done. Setting up perl (5.38.2-4) ... Setting up libgprofng0:amd64 (2.42-4ubuntu2) ... Setting up optipng (0.7.8+ds-1build2) ... Setting up lockfile-progs (0.1.19+nmu1) ... Setting up libgssapi-krb5-2:amd64 (1.20.1-6ubuntu2) ... Setting up libdpkg-perl (1.22.6ubuntu10) ... Setting up libreadline8t64:amd64 (8.2-4build1) ... Setting up libgcc-13-dev:amd64 (13.2.0-24ubuntu1) ... Setting up gpgconf (2.4.4-2ubuntu17) ... Setting up libc6-dev:amd64 (2.39-0ubuntu8.1) ... Setting up gpg (2.4.4-2ubuntu17) ... Setting up libstdc++-13-dev:amd64 (13.2.0-24ubuntu1) ... Setting up binutils-x86-64-linux-gnu (2.42-4ubuntu2) ... Setting up cpp-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up gpg-agent (2.4.4-2ubuntu17) ... Setting up cpp-13 (13.2.0-24ubuntu1) ... Setting up libtirpc3t64:amd64 (1.3.4+ds-1.3) ... Setting up gcc-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Setting up binutils (2.42-4ubuntu2) ... Setting up dpkg-dev (1.22.6ubuntu10) ... Setting up libtirpc-dev:amd64 (1.3.4+ds-1.3) ... Setting up gcc-13 (13.2.0-24ubuntu1) ... Setting up cpp (4:13.2.0-7ubuntu1) ... Setting up libnsl2:amd64 (1.3.0-3build3) ... Setting up g++-13-x86-64-linux-gnu (13.2.0-24ubuntu1) ... Setting up gcc-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up libnss-nisplus:amd64 (1.3-5build1) ... Setting up gcc (4:13.2.0-7ubuntu1) ... Setting up libnss-nis:amd64 (3.1-0ubuntu7) ... Setting up libnsl-dev:amd64 (1.3.0-3build3) ... Setting up g++-x86-64-linux-gnu (4:13.2.0-7ubuntu1) ... Setting up g++-13 (13.2.0-24ubuntu1) ... Setting up g++ (4:13.2.0-7ubuntu1) ... Processing triggers for libc-bin (2.39-0ubuntu8.1) ... Processing triggers for debianutils (5.17build1) ... (Reading database ... 16042 files and directories currently installed.) Purging configuration files for libssl3:amd64 (3.0.10-1ubuntu2) ... Processing triggers for ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-28438064 amd64 oracular-proposed -c chroot:build-PACKAGEBUILD-28438064 --arch=amd64 --dist=oracular-proposed --nolog -A verilator_5.024-1.dsc Initiating build PACKAGEBUILD-28438064 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 5.4.0-177-generic #197-Ubuntu SMP Thu Mar 28 22:45:47 UTC 2024 x86_64 sbuild (Debian sbuild) 0.79.0 (05 February 2020) on lcy02-amd64-024.buildd +==============================================================================+ | verilator 5.024-1 (amd64) Fri, 10 May 2024 23:16:17 +0000 | +==============================================================================+ Package: verilator Version: 5.024-1 Source Version: 5.024-1 Distribution: oracular-proposed Machine Architecture: amd64 Host Architecture: amd64 Build Architecture: amd64 Build Type: binary I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-28438064/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/verilator-Sbswwh/resolver-Y9DVhd' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- verilator_5.024-1.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/verilator-Sbswwh/verilator-5.024' with '<>' I: NOTICE: Log filtering will replace 'build/verilator-Sbswwh' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev, help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra, build-essential, fakeroot Filtered Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev, help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra, build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [480 B] Get:5 copy:/<>/apt_archive ./ Packages [535 B] Fetched 1972 B in 0s (196 kB/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following packages were automatically installed and are no longer required: apt-utils bash-completion debconf-i18n krb5-locales libgpg-error-l10n libgpm2 libgssapi-krb5-2 libip4tc2 libk5crypto3 libkeyutils1 libkmod2 libkrb5-3 libkrb5support0 libnsl-dev libnsl2 libnss-nis libnss-nisplus libperl5.36 libtext-charwidth-perl libtext-iconv-perl libtext-wrapi18n-perl libtirpc-common libtirpc-dev libtirpc3t64 libunistring2 perl-modules-5.36 psmisc uuid-runtime Use 'apt autoremove' to remove them. The following additional packages will be installed: autoconf automake autopoint autotools-dev bison debhelper debugedit dh-autoreconf dh-strip-nondeterminism docutils-common dwz file flex fontconfig-config fonts-dejavu-core fonts-dejavu-mono fonts-font-awesome fonts-lato fonts-lmodern gettext gettext-base groff-base help2man intltool-debian latexmk libapache-pom-java libarchive-zip-perl libbrotli1 libbsd0 libcairo2 libcommons-logging-java libcommons-parent-java libdebhelper-perl libdw1t64 libelf1t64 libexpat1 libfile-stripnondeterminism-perl libfl-dev libfl2 libfontbox-java libfontconfig1 libfontenc1 libfreetype6 libglib2.0-0t64 libgraphite2-3 libharfbuzz0b libice6 libicu74 libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6 libmagic-mgc libmagic1t64 libpaper-utils libpaper1 libpdfbox-java libpipeline1 libpixman-1-0 libpotrace0 libptexenc1 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib libsm6 libsub-override-perl libsynctex2 libsystemc libsystemc-dev libteckit0 libtexlua53-5 libtool libuchardet0 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0 libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6t64 libzzip-0-13t64 m4 man-db media-types netbase po-debconf preview-latex-style python-babel-localedata python3 python3-alabaster python3-babel python3-certifi python3-chardet python3-docutils python3-idna python3-imagesize python3-jinja2 python3-markupsafe python3-minimal python3-packaging python3-pkg-resources python3-pygments python3-requests python3-roman python3-snowballstemmer python3-sphinx python3-sphinx-rtd-theme python3-sphinxcontrib.jquery python3-urllib3 python3.12 python3.12-minimal sgml-base sphinx-common sphinx-rtd-theme-common t1utils tex-common tex-gyre texlive-base texlive-binaries texlive-latex-base texlive-latex-extra texlive-latex-recommended texlive-pictures ucf x11-common xdg-utils xfonts-encodings xfonts-utils xml-core Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flex-doc gettext-doc libasprintf-dev libgettextpo-dev groff ghostscript libavalon-framework-java libexcalibur-logkit-java liblog4j1.2-java low-memory-monitor libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv docutils-doc fonts-linuxlibertine | ttf-linux-libertine texlive-lang-french python-jinja2-doc python3-setuptools python-pygments-doc ttf-bitstream-vera python3-cryptography python3-openssl python3-socks python-requests-doc python3-stemmer dvipng fonts-freefont-otf imagemagick-6.q16 libjs-mathjax python3-lib2to3 sphinx-doc texlive-fonts-recommended texlive-plain-generic python3-brotli python3.12-venv python3.12-doc binfmt-support sgml-base-doc gv | postscript-viewer perl-tk xpdf | pdf-viewer xzdec texlive-binaries-sse2 hintview texlive-latex-base-doc wp2latex icc-profiles libfile-which-perl texlive-latex-extra-doc texlive-science texlive-latex-recommended-doc texlive-luatex texlive-pstricks dot2tex prerex texlive-pictures-doc vprerex Recommended packages: curl | wget | lynx xpdf | pdf-viewer gv | postscript-viewer libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs javascript-common libjson-xs-perl libltdl-dev libmail-sendmail-perl python3-pil fonts-texgyre fonts-texgyre-math lmodern dvisvgm default-jre libspreadsheet-parseexcel-perl texlive-fonts-recommended texlive-plain-generic ruby tk libfile-mimeinfo-perl libnet-dbus-perl libx11-protocol-perl x11-utils x11-xserver-utils The following NEW packages will be installed: autoconf automake autopoint autotools-dev bison debhelper debugedit dh-autoreconf dh-strip-nondeterminism docutils-common dwz file flex fontconfig-config fonts-dejavu-core fonts-dejavu-mono fonts-font-awesome fonts-lato fonts-lmodern gettext gettext-base groff-base help2man intltool-debian latexmk libapache-pom-java libarchive-zip-perl libbrotli1 libbsd0 libcairo2 libcommons-logging-java libcommons-parent-java libdebhelper-perl libdw1t64 libelf1t64 libexpat1 libfile-stripnondeterminism-perl libfl-dev libfl2 libfontbox-java libfontconfig1 libfontenc1 libfreetype6 libglib2.0-0t64 libgraphite2-3 libharfbuzz0b libice6 libicu74 libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6 libmagic-mgc libmagic1t64 libpaper-utils libpaper1 libpdfbox-java libpipeline1 libpixman-1-0 libpotrace0 libptexenc1 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib libsm6 libsub-override-perl libsynctex2 libsystemc libsystemc-dev libteckit0 libtexlua53-5 libtool libuchardet0 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0 libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6t64 libzzip-0-13t64 m4 man-db media-types netbase po-debconf preview-latex-style python-babel-localedata python3 python3-alabaster python3-babel python3-certifi python3-chardet python3-docutils python3-idna python3-imagesize python3-jinja2 python3-markupsafe python3-minimal python3-packaging python3-pkg-resources python3-pygments python3-requests python3-roman python3-snowballstemmer python3-sphinx python3-sphinx-rtd-theme python3-sphinxcontrib.jquery python3-urllib3 python3.12 python3.12-minimal sbuild-build-depends-main-dummy sgml-base sphinx-common sphinx-rtd-theme-common t1utils tex-common tex-gyre texlive-base texlive-binaries texlive-latex-base texlive-latex-extra texlive-latex-recommended texlive-pictures ucf x11-common xdg-utils xfonts-encodings xfonts-utils xml-core 0 upgraded, 139 newly installed, 0 to remove and 0 not upgraded. Need to get 145 MB of archives. After this operation, 516 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [756 B] Get:2 http://ftpmaster.internal/ubuntu oracular/main amd64 libpython3.12-minimal amd64 3.12.3-1 [833 kB] Get:3 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libexpat1 amd64 2.6.2-1 [86.9 kB] Get:4 http://ftpmaster.internal/ubuntu oracular/main amd64 python3.12-minimal amd64 3.12.3-1 [2343 kB] Get:5 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-minimal amd64 3.12.3-0ubuntu1 [27.2 kB] Get:6 http://ftpmaster.internal/ubuntu oracular/main amd64 media-types all 10.1.0 [27.5 kB] Get:7 http://ftpmaster.internal/ubuntu oracular/main amd64 netbase all 6.4 [13.1 kB] Get:8 http://ftpmaster.internal/ubuntu oracular/main amd64 libpython3.12-stdlib amd64 3.12.3-1 [2067 kB] Get:9 http://ftpmaster.internal/ubuntu oracular/main amd64 python3.12 amd64 3.12.3-1 [651 kB] Get:10 http://ftpmaster.internal/ubuntu oracular/main amd64 libpython3-stdlib amd64 3.12.3-0ubuntu1 [9890 B] Get:11 http://ftpmaster.internal/ubuntu oracular/main amd64 python3 amd64 3.12.3-0ubuntu1 [24.1 kB] Get:12 http://ftpmaster.internal/ubuntu oracular/main amd64 m4 amd64 1.4.19-4build1 [244 kB] Get:13 http://ftpmaster.internal/ubuntu oracular/main amd64 flex amd64 2.6.4-8.2build1 [301 kB] Get:14 http://ftpmaster.internal/ubuntu oracular/main amd64 fonts-lato all 2.015-1 [2781 kB] Get:15 http://ftpmaster.internal/ubuntu oracular/main amd64 sgml-base all 1.31 [11.4 kB] Get:16 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libbsd0 amd64 0.12.2-1 [41.2 kB] Get:17 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libelf1t64 amd64 0.191-1 [57.5 kB] Get:18 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libglib2.0-0t64 amd64 2.80.1-0ubuntu2 [1546 kB] Get:19 http://ftpmaster.internal/ubuntu oracular/main amd64 libicu74 amd64 74.2-1ubuntu3 [10.9 MB] Get:20 http://ftpmaster.internal/ubuntu oracular/main amd64 libxml2 amd64 2.9.14+dfsg-1.3ubuntu3 [762 kB] Get:21 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-pkg-resources all 68.1.2-2ubuntu1 [168 kB] Get:22 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-pygments all 2.17.2+dfsg-1 [819 kB] Get:23 http://ftpmaster.internal/ubuntu oracular/main amd64 ucf all 3.0043+nmu1 [56.5 kB] Get:24 http://ftpmaster.internal/ubuntu oracular/main amd64 libmagic-mgc amd64 1:5.45-3build1 [307 kB] Get:25 http://ftpmaster.internal/ubuntu oracular/main amd64 libmagic1t64 amd64 1:5.45-3build1 [87.2 kB] Get:26 http://ftpmaster.internal/ubuntu oracular/main amd64 file amd64 1:5.45-3build1 [22.0 kB] Get:27 http://ftpmaster.internal/ubuntu oracular/main amd64 gettext-base amd64 0.21-14ubuntu2 [38.4 kB] Get:28 http://ftpmaster.internal/ubuntu oracular/main amd64 libuchardet0 amd64 0.0.8-1build1 [75.3 kB] Get:29 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 groff-base amd64 1.23.0-4 [1020 kB] Get:30 http://ftpmaster.internal/ubuntu oracular/main amd64 libpipeline1 amd64 1.5.7-2 [23.6 kB] Get:31 http://ftpmaster.internal/ubuntu oracular/main amd64 libxau6 amd64 1:1.0.9-1build6 [7160 B] Get:32 http://ftpmaster.internal/ubuntu oracular/main amd64 libxdmcp6 amd64 1:1.1.3-0ubuntu6 [10.3 kB] Get:33 http://ftpmaster.internal/ubuntu oracular/main amd64 libxcb1 amd64 1.15-1ubuntu2 [47.7 kB] Get:34 http://ftpmaster.internal/ubuntu oracular/main amd64 libx11-data all 2:1.8.7-1build1 [115 kB] Get:35 http://ftpmaster.internal/ubuntu oracular/main amd64 libx11-6 amd64 2:1.8.7-1build1 [650 kB] Get:36 http://ftpmaster.internal/ubuntu oracular/main amd64 libxext6 amd64 2:1.3.4-1build2 [30.4 kB] Get:37 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 man-db amd64 2.12.1-1 [1236 kB] Get:38 http://ftpmaster.internal/ubuntu oracular/main amd64 autoconf all 2.71-3 [339 kB] Get:39 http://ftpmaster.internal/ubuntu oracular/main amd64 autotools-dev all 20220109.1 [44.9 kB] Get:40 http://ftpmaster.internal/ubuntu oracular/main amd64 automake all 1:1.16.5-1.3ubuntu1 [558 kB] Get:41 http://ftpmaster.internal/ubuntu oracular/main amd64 autopoint all 0.21-14ubuntu2 [422 kB] Get:42 http://ftpmaster.internal/ubuntu oracular/main amd64 bison amd64 2:3.8.2+dfsg-1build2 [749 kB] Get:43 http://ftpmaster.internal/ubuntu oracular/main amd64 libdebhelper-perl all 13.14.1ubuntu5 [89.8 kB] Get:44 http://ftpmaster.internal/ubuntu oracular/main amd64 libtool all 2.4.7-7build1 [166 kB] Get:45 http://ftpmaster.internal/ubuntu oracular/main amd64 dh-autoreconf all 20 [16.1 kB] Get:46 http://ftpmaster.internal/ubuntu oracular/main amd64 libarchive-zip-perl all 1.68-1 [90.2 kB] Get:47 http://ftpmaster.internal/ubuntu oracular/main amd64 libsub-override-perl all 0.10-1 [10.0 kB] Get:48 http://ftpmaster.internal/ubuntu oracular/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] Get:49 http://ftpmaster.internal/ubuntu oracular/main amd64 dh-strip-nondeterminism all 1.13.1-1 [5362 B] Get:50 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 libdw1t64 amd64 0.191-1 [265 kB] Get:51 http://ftpmaster.internal/ubuntu oracular/main amd64 debugedit amd64 1:5.0-5build2 [46.1 kB] Get:52 http://ftpmaster.internal/ubuntu oracular/main amd64 dwz amd64 0.15-1build6 [115 kB] Get:53 http://ftpmaster.internal/ubuntu oracular/main amd64 gettext amd64 0.21-14ubuntu2 [864 kB] Get:54 http://ftpmaster.internal/ubuntu oracular/main amd64 intltool-debian all 0.35.0+20060710.6 [23.2 kB] Get:55 http://ftpmaster.internal/ubuntu oracular/main amd64 po-debconf all 1.0.21+nmu1 [233 kB] Get:56 http://ftpmaster.internal/ubuntu oracular/main amd64 debhelper all 13.14.1ubuntu5 [869 kB] Get:57 http://ftpmaster.internal/ubuntu oracular/main amd64 xml-core all 0.19 [20.3 kB] Get:58 http://ftpmaster.internal/ubuntu oracular/main amd64 docutils-common all 0.20.1+dfsg-3 [132 kB] Get:59 http://ftpmaster.internal/ubuntu oracular/main amd64 fonts-dejavu-mono all 2.37-8 [502 kB] Get:60 http://ftpmaster.internal/ubuntu oracular/main amd64 fonts-dejavu-core all 2.37-8 [835 kB] Get:61 http://ftpmaster.internal/ubuntu oracular/main amd64 fontconfig-config amd64 2.15.0-1.1ubuntu2 [37.3 kB] Get:62 http://ftpmaster.internal/ubuntu oracular/main amd64 fonts-font-awesome all 5.0.10+really4.7.0~dfsg-4.1 [516 kB] Get:63 http://ftpmaster.internal/ubuntu oracular/universe amd64 fonts-lmodern all 2.005-1 [4799 kB] Get:64 http://ftpmaster.internal/ubuntu oracular/universe amd64 help2man amd64 1.49.3 [201 kB] Get:65 http://ftpmaster.internal/ubuntu oracular/universe amd64 tex-common all 6.18 [32.8 kB] Get:66 http://ftpmaster.internal/ubuntu oracular/main amd64 libpaper1 amd64 1.1.29build1 [13.4 kB] Get:67 http://ftpmaster.internal/ubuntu oracular/main amd64 libpaper-utils amd64 1.1.29build1 [8650 B] Get:68 http://ftpmaster.internal/ubuntu oracular/main amd64 libkpathsea6 amd64 2023.20230311.66589-9build3 [63.0 kB] Get:69 http://ftpmaster.internal/ubuntu oracular/main amd64 libptexenc1 amd64 2023.20230311.66589-9build3 [40.4 kB] Get:70 http://ftpmaster.internal/ubuntu oracular/main amd64 libsynctex2 amd64 2023.20230311.66589-9build3 [59.6 kB] Get:71 http://ftpmaster.internal/ubuntu oracular/main amd64 libtexlua53-5 amd64 2023.20230311.66589-9build3 [123 kB] Get:72 http://ftpmaster.internal/ubuntu oracular/main amd64 t1utils amd64 1.41-4build3 [61.3 kB] Get:73 http://ftpmaster.internal/ubuntu oracular/main amd64 libbrotli1 amd64 1.1.0-2build2 [331 kB] Get:74 http://ftpmaster.internal/ubuntu oracular/main amd64 libfreetype6 amd64 2.13.2+dfsg-1build3 [402 kB] Get:75 http://ftpmaster.internal/ubuntu oracular/main amd64 libfontconfig1 amd64 2.15.0-1.1ubuntu2 [139 kB] Get:76 http://ftpmaster.internal/ubuntu oracular/main amd64 libpixman-1-0 amd64 0.42.2-1build1 [279 kB] Get:77 http://ftpmaster.internal/ubuntu oracular/main amd64 libxcb-render0 amd64 1.15-1ubuntu2 [16.2 kB] Get:78 http://ftpmaster.internal/ubuntu oracular/main amd64 libxcb-shm0 amd64 1.15-1ubuntu2 [5756 B] Get:79 http://ftpmaster.internal/ubuntu oracular/main amd64 libxrender1 amd64 1:0.9.10-1.1build1 [19.0 kB] Get:80 http://ftpmaster.internal/ubuntu oracular/main amd64 libcairo2 amd64 1.18.0-3build1 [566 kB] Get:81 http://ftpmaster.internal/ubuntu oracular/main amd64 libgraphite2-3 amd64 1.3.14-2build1 [73.0 kB] Get:82 http://ftpmaster.internal/ubuntu oracular/main amd64 libharfbuzz0b amd64 8.3.0-2build2 [469 kB] Get:83 http://ftpmaster.internal/ubuntu oracular/universe amd64 libpotrace0 amd64 1.16-2build1 [17.7 kB] Get:84 http://ftpmaster.internal/ubuntu oracular/universe amd64 libteckit0 amd64 2.5.12+ds1-1 [411 kB] Get:85 http://ftpmaster.internal/ubuntu oracular/main amd64 x11-common all 1:7.7+23ubuntu3 [21.7 kB] Get:86 http://ftpmaster.internal/ubuntu oracular/main amd64 libice6 amd64 2:1.0.10-1build3 [41.4 kB] Get:87 http://ftpmaster.internal/ubuntu oracular/main amd64 libsm6 amd64 2:1.2.3-1build3 [15.7 kB] Get:88 http://ftpmaster.internal/ubuntu oracular/main amd64 libxt6t64 amd64 1:1.2.1-1.2build1 [171 kB] Get:89 http://ftpmaster.internal/ubuntu oracular/main amd64 libxmu6 amd64 2:1.1.3-3build2 [47.6 kB] Get:90 http://ftpmaster.internal/ubuntu oracular/main amd64 libxpm4 amd64 1:3.5.17-1build2 [36.5 kB] Get:91 http://ftpmaster.internal/ubuntu oracular/main amd64 libxaw7 amd64 2:1.0.14-1build2 [187 kB] Get:92 http://ftpmaster.internal/ubuntu oracular/main amd64 libxi6 amd64 2:1.8.1-1build1 [32.4 kB] Get:93 http://ftpmaster.internal/ubuntu oracular/universe amd64 libzzip-0-13t64 amd64 0.13.72+dfsg.1-1.2build1 [28.1 kB] Get:94 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-binaries amd64 2023.20230311.66589-9build3 [8529 kB] Get:95 http://ftpmaster.internal/ubuntu oracular/main amd64 xdg-utils all 1.1.3-4.1ubuntu3 [62.0 kB] Get:96 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-base all 2023.20240207-1 [21.7 MB] Get:97 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-latex-base all 2023.20240207-1 [1238 kB] Get:98 http://ftpmaster.internal/ubuntu oracular/universe amd64 latexmk all 1:4.85-1 [485 kB] Get:99 http://ftpmaster.internal/ubuntu oracular/universe amd64 libapache-pom-java all 29-2 [5284 B] Get:100 http://ftpmaster.internal/ubuntu oracular/universe amd64 libcommons-parent-java all 56-1 [10.7 kB] Get:101 http://ftpmaster.internal/ubuntu oracular/universe amd64 libcommons-logging-java all 1.3.0-1ubuntu1 [63.8 kB] Get:102 http://ftpmaster.internal/ubuntu oracular/main amd64 libfl2 amd64 2.6.4-8.2build1 [9768 B] Get:103 http://ftpmaster.internal/ubuntu oracular/main amd64 libfl-dev amd64 2.6.4-8.2build1 [6250 B] Get:104 http://ftpmaster.internal/ubuntu oracular/main amd64 libfontenc1 amd64 1:1.1.8-1build1 [14.0 kB] Get:105 http://ftpmaster.internal/ubuntu oracular/main amd64 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [328 kB] Get:106 http://ftpmaster.internal/ubuntu oracular/main amd64 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [118 kB] Get:107 http://ftpmaster.internal/ubuntu oracular/main amd64 libjs-sphinxdoc all 7.2.6-6 [149 kB] Get:108 http://ftpmaster.internal/ubuntu oracular/main amd64 libjson-perl all 4.10000-1 [81.9 kB] Get:109 http://ftpmaster.internal/ubuntu oracular/universe amd64 libsystemc amd64 2.3.4-3build1 [474 kB] Get:110 http://ftpmaster.internal/ubuntu oracular/universe amd64 libsystemc-dev amd64 2.3.4-3build1 [241 kB] Get:111 http://ftpmaster.internal/ubuntu oracular/universe amd64 preview-latex-style all 13.2-1 [347 kB] Get:112 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 python-babel-localedata all 2.14.0-1 [6385 kB] Get:113 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 python3-babel all 2.14.0-1 [96.4 kB] Get:114 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-certifi all 2023.11.17-1 [165 kB] Get:115 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-chardet all 5.2.0+dfsg-1 [117 kB] Get:116 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-roman all 3.3-3 [9632 B] Get:117 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-docutils all 0.20.1+dfsg-3 [393 kB] Get:118 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-idna all 3.6-2 [46.0 kB] Get:119 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-imagesize all 1.4.1-1 [6844 B] Get:120 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-markupsafe amd64 2.1.5-1build2 [12.7 kB] Get:121 http://ftpmaster.internal/ubuntu oracular-proposed/main amd64 python3-jinja2 all 3.1.3-1 [107 kB] Get:122 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-packaging all 24.0-1 [41.1 kB] Get:123 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-urllib3 all 2.0.7-1 [113 kB] Get:124 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-requests all 2.31.0+dfsg-1ubuntu1 [50.7 kB] Get:125 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-snowballstemmer all 2.2.0-4build1 [59.8 kB] Get:126 http://ftpmaster.internal/ubuntu oracular/main amd64 sphinx-common all 7.2.6-6 [739 kB] Get:127 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-alabaster all 0.7.12-1 [17.8 kB] Get:128 http://ftpmaster.internal/ubuntu oracular/main amd64 python3-sphinx all 7.2.6-6 [557 kB] Get:129 http://ftpmaster.internal/ubuntu oracular/main amd64 sphinx-rtd-theme-common all 2.0.0+dfsg-1 [1012 kB] Get:130 http://ftpmaster.internal/ubuntu oracular/universe amd64 python3-sphinxcontrib.jquery all 4.1-5 [6678 B] Get:131 http://ftpmaster.internal/ubuntu oracular/universe amd64 python3-sphinx-rtd-theme all 2.0.0+dfsg-1 [23.1 kB] Get:132 http://ftpmaster.internal/ubuntu oracular/main amd64 xfonts-encodings all 1:1.0.5-0ubuntu2 [578 kB] Get:133 http://ftpmaster.internal/ubuntu oracular/main amd64 xfonts-utils amd64 1:7.7+6build3 [94.4 kB] Get:134 http://ftpmaster.internal/ubuntu oracular/universe amd64 tex-gyre all 20180621-6 [6396 kB] Get:135 http://ftpmaster.internal/ubuntu oracular/universe amd64 libfontbox-java all 1:1.8.16-5 [208 kB] Get:136 http://ftpmaster.internal/ubuntu oracular/universe amd64 libpdfbox-java all 1:1.8.16-5 [5521 kB] Get:137 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-latex-recommended all 2023.20240207-1 [8826 kB] Get:138 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-pictures all 2023.20240207-1 [16.7 MB] Get:139 http://ftpmaster.internal/ubuntu oracular/universe amd64 texlive-latex-extra all 2023.20240207-1 [19.2 MB] Preconfiguring packages ... Fetched 145 MB in 1s (138 MB/s) Selecting previously unselected package libpython3.12-minimal:amd64. (Reading database ... 16042 files and directories currently installed.) Preparing to unpack .../libpython3.12-minimal_3.12.3-1_amd64.deb ... Unpacking libpython3.12-minimal:amd64 (3.12.3-1) ... Selecting previously unselected package libexpat1:amd64. Preparing to unpack .../libexpat1_2.6.2-1_amd64.deb ... Unpacking libexpat1:amd64 (2.6.2-1) ... Selecting previously unselected package python3.12-minimal. Preparing to unpack .../python3.12-minimal_3.12.3-1_amd64.deb ... Unpacking python3.12-minimal (3.12.3-1) ... Setting up libpython3.12-minimal:amd64 (3.12.3-1) ... Setting up libexpat1:amd64 (2.6.2-1) ... Setting up python3.12-minimal (3.12.3-1) ... Selecting previously unselected package python3-minimal. (Reading database ... 16360 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.12.3-0ubuntu1_amd64.deb ... Unpacking python3-minimal (3.12.3-0ubuntu1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package libpython3.12-stdlib:amd64. Preparing to unpack .../3-libpython3.12-stdlib_3.12.3-1_amd64.deb ... Unpacking libpython3.12-stdlib:amd64 (3.12.3-1) ... Selecting previously unselected package python3.12. Preparing to unpack .../4-python3.12_3.12.3-1_amd64.deb ... Unpacking python3.12 (3.12.3-1) ... Selecting previously unselected package libpython3-stdlib:amd64. Preparing to unpack .../5-libpython3-stdlib_3.12.3-0ubuntu1_amd64.deb ... Unpacking libpython3-stdlib:amd64 (3.12.3-0ubuntu1) ... Setting up python3-minimal (3.12.3-0ubuntu1) ... Selecting previously unselected package python3. (Reading database ... 16801 files and directories currently installed.) Preparing to unpack .../000-python3_3.12.3-0ubuntu1_amd64.deb ... Unpacking python3 (3.12.3-0ubuntu1) ... Selecting previously unselected package m4. Preparing to unpack .../001-m4_1.4.19-4build1_amd64.deb ... Unpacking m4 (1.4.19-4build1) ... Selecting previously unselected package flex. Preparing to unpack .../002-flex_2.6.4-8.2build1_amd64.deb ... Unpacking flex (2.6.4-8.2build1) ... Selecting previously unselected package fonts-lato. Preparing to unpack .../003-fonts-lato_2.015-1_all.deb ... Unpacking fonts-lato (2.015-1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../004-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package libbsd0:amd64. Preparing to unpack .../005-libbsd0_0.12.2-1_amd64.deb ... Unpacking libbsd0:amd64 (0.12.2-1) ... Selecting previously unselected package libelf1t64:amd64. Preparing to unpack .../006-libelf1t64_0.191-1_amd64.deb ... Unpacking libelf1t64:amd64 (0.191-1) ... Selecting previously unselected package libglib2.0-0t64:amd64. Preparing to unpack .../007-libglib2.0-0t64_2.80.1-0ubuntu2_amd64.deb ... Unpacking libglib2.0-0t64:amd64 (2.80.1-0ubuntu2) ... Selecting previously unselected package libicu74:amd64. Preparing to unpack .../008-libicu74_74.2-1ubuntu3_amd64.deb ... Unpacking libicu74:amd64 (74.2-1ubuntu3) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../009-libxml2_2.9.14+dfsg-1.3ubuntu3_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3ubuntu3) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../010-python3-pkg-resources_68.1.2-2ubuntu1_all.deb ... Unpacking python3-pkg-resources (68.1.2-2ubuntu1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../011-python3-pygments_2.17.2+dfsg-1_all.deb ... Unpacking python3-pygments (2.17.2+dfsg-1) ... Selecting previously unselected package ucf. Preparing to unpack .../012-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../013-libmagic-mgc_1%3a5.45-3build1_amd64.deb ... Unpacking libmagic-mgc (1:5.45-3build1) ... Selecting previously unselected package libmagic1t64:amd64. Preparing to unpack .../014-libmagic1t64_1%3a5.45-3build1_amd64.deb ... Unpacking libmagic1t64:amd64 (1:5.45-3build1) ... Selecting previously unselected package file. Preparing to unpack .../015-file_1%3a5.45-3build1_amd64.deb ... Unpacking file (1:5.45-3build1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../016-gettext-base_0.21-14ubuntu2_amd64.deb ... Unpacking gettext-base (0.21-14ubuntu2) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../017-libuchardet0_0.0.8-1build1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.8-1build1) ... Selecting previously unselected package groff-base. Preparing to unpack .../018-groff-base_1.23.0-4_amd64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../019-libpipeline1_1.5.7-2_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-2) ... Selecting previously unselected package libxau6:amd64. Preparing to unpack .../020-libxau6_1%3a1.0.9-1build6_amd64.deb ... Unpacking libxau6:amd64 (1:1.0.9-1build6) ... Selecting previously unselected package libxdmcp6:amd64. Preparing to unpack .../021-libxdmcp6_1%3a1.1.3-0ubuntu6_amd64.deb ... Unpacking libxdmcp6:amd64 (1:1.1.3-0ubuntu6) ... Selecting previously unselected package libxcb1:amd64. Preparing to unpack .../022-libxcb1_1.15-1ubuntu2_amd64.deb ... Unpacking libxcb1:amd64 (1.15-1ubuntu2) ... Selecting previously unselected package libx11-data. Preparing to unpack .../023-libx11-data_2%3a1.8.7-1build1_all.deb ... Unpacking libx11-data (2:1.8.7-1build1) ... Selecting previously unselected package libx11-6:amd64. Preparing to unpack .../024-libx11-6_2%3a1.8.7-1build1_amd64.deb ... Unpacking libx11-6:amd64 (2:1.8.7-1build1) ... Selecting previously unselected package libxext6:amd64. Preparing to unpack .../025-libxext6_2%3a1.3.4-1build2_amd64.deb ... Unpacking libxext6:amd64 (2:1.3.4-1build2) ... Selecting previously unselected package man-db. Preparing to unpack .../026-man-db_2.12.1-1_amd64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package autoconf. Preparing to unpack .../027-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../028-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../029-automake_1%3a1.16.5-1.3ubuntu1_all.deb ... Unpacking automake (1:1.16.5-1.3ubuntu1) ... Selecting previously unselected package autopoint. Preparing to unpack .../030-autopoint_0.21-14ubuntu2_all.deb ... Unpacking autopoint (0.21-14ubuntu2) ... Selecting previously unselected package bison. Preparing to unpack .../031-bison_2%3a3.8.2+dfsg-1build2_amd64.deb ... Unpacking bison (2:3.8.2+dfsg-1build2) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../032-libdebhelper-perl_13.14.1ubuntu5_all.deb ... Unpacking libdebhelper-perl (13.14.1ubuntu5) ... Selecting previously unselected package libtool. Preparing to unpack .../033-libtool_2.4.7-7build1_all.deb ... Unpacking libtool (2.4.7-7build1) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../034-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../035-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../036-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../037-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../038-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libdw1t64:amd64. Preparing to unpack .../039-libdw1t64_0.191-1_amd64.deb ... Unpacking libdw1t64:amd64 (0.191-1) ... Selecting previously unselected package debugedit. Preparing to unpack .../040-debugedit_1%3a5.0-5build2_amd64.deb ... Unpacking debugedit (1:5.0-5build2) ... Selecting previously unselected package dwz. Preparing to unpack .../041-dwz_0.15-1build6_amd64.deb ... Unpacking dwz (0.15-1build6) ... Selecting previously unselected package gettext. Preparing to unpack .../042-gettext_0.21-14ubuntu2_amd64.deb ... Unpacking gettext (0.21-14ubuntu2) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../043-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../044-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../045-debhelper_13.14.1ubuntu5_all.deb ... Unpacking debhelper (13.14.1ubuntu5) ... Selecting previously unselected package xml-core. Preparing to unpack .../046-xml-core_0.19_all.deb ... Unpacking xml-core (0.19) ... Selecting previously unselected package docutils-common. Preparing to unpack .../047-docutils-common_0.20.1+dfsg-3_all.deb ... Unpacking docutils-common (0.20.1+dfsg-3) ... Selecting previously unselected package fonts-dejavu-mono. Preparing to unpack .../048-fonts-dejavu-mono_2.37-8_all.deb ... Unpacking fonts-dejavu-mono (2.37-8) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../049-fonts-dejavu-core_2.37-8_all.deb ... Unpacking fonts-dejavu-core (2.37-8) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../050-fontconfig-config_2.15.0-1.1ubuntu2_amd64.deb ... Unpacking fontconfig-config (2.15.0-1.1ubuntu2) ... Selecting previously unselected package fonts-font-awesome. Preparing to unpack .../051-fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1_all.deb ... Unpacking fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../052-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package help2man. Preparing to unpack .../053-help2man_1.49.3_amd64.deb ... Unpacking help2man (1.49.3) ... Selecting previously unselected package tex-common. Preparing to unpack .../054-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package libpaper1:amd64. Preparing to unpack .../055-libpaper1_1.1.29build1_amd64.deb ... Unpacking libpaper1:amd64 (1.1.29build1) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../056-libpaper-utils_1.1.29build1_amd64.deb ... Unpacking libpaper-utils (1.1.29build1) ... Selecting previously unselected package libkpathsea6:amd64. Preparing to unpack .../057-libkpathsea6_2023.20230311.66589-9build3_amd64.deb ... Unpacking libkpathsea6:amd64 (2023.20230311.66589-9build3) ... Selecting previously unselected package libptexenc1:amd64. Preparing to unpack .../058-libptexenc1_2023.20230311.66589-9build3_amd64.deb ... Unpacking libptexenc1:amd64 (2023.20230311.66589-9build3) ... Selecting previously unselected package libsynctex2:amd64. Preparing to unpack .../059-libsynctex2_2023.20230311.66589-9build3_amd64.deb ... Unpacking libsynctex2:amd64 (2023.20230311.66589-9build3) ... Selecting previously unselected package libtexlua53-5:amd64. Preparing to unpack .../060-libtexlua53-5_2023.20230311.66589-9build3_amd64.deb ... Unpacking libtexlua53-5:amd64 (2023.20230311.66589-9build3) ... Selecting previously unselected package t1utils. Preparing to unpack .../061-t1utils_1.41-4build3_amd64.deb ... Unpacking t1utils (1.41-4build3) ... Selecting previously unselected package libbrotli1:amd64. Preparing to unpack .../062-libbrotli1_1.1.0-2build2_amd64.deb ... Unpacking libbrotli1:amd64 (1.1.0-2build2) ... Selecting previously unselected package libfreetype6:amd64. Preparing to unpack .../063-libfreetype6_2.13.2+dfsg-1build3_amd64.deb ... Unpacking libfreetype6:amd64 (2.13.2+dfsg-1build3) ... Selecting previously unselected package libfontconfig1:amd64. Preparing to unpack .../064-libfontconfig1_2.15.0-1.1ubuntu2_amd64.deb ... Unpacking libfontconfig1:amd64 (2.15.0-1.1ubuntu2) ... Selecting previously unselected package libpixman-1-0:amd64. Preparing to unpack .../065-libpixman-1-0_0.42.2-1build1_amd64.deb ... Unpacking libpixman-1-0:amd64 (0.42.2-1build1) ... Selecting previously unselected package libxcb-render0:amd64. Preparing to unpack .../066-libxcb-render0_1.15-1ubuntu2_amd64.deb ... Unpacking libxcb-render0:amd64 (1.15-1ubuntu2) ... Selecting previously unselected package libxcb-shm0:amd64. Preparing to unpack .../067-libxcb-shm0_1.15-1ubuntu2_amd64.deb ... Unpacking libxcb-shm0:amd64 (1.15-1ubuntu2) ... Selecting previously unselected package libxrender1:amd64. Preparing to unpack .../068-libxrender1_1%3a0.9.10-1.1build1_amd64.deb ... Unpacking libxrender1:amd64 (1:0.9.10-1.1build1) ... Selecting previously unselected package libcairo2:amd64. Preparing to unpack .../069-libcairo2_1.18.0-3build1_amd64.deb ... Unpacking libcairo2:amd64 (1.18.0-3build1) ... Selecting previously unselected package libgraphite2-3:amd64. Preparing to unpack .../070-libgraphite2-3_1.3.14-2build1_amd64.deb ... Unpacking libgraphite2-3:amd64 (1.3.14-2build1) ... Selecting previously unselected package libharfbuzz0b:amd64. Preparing to unpack .../071-libharfbuzz0b_8.3.0-2build2_amd64.deb ... Unpacking libharfbuzz0b:amd64 (8.3.0-2build2) ... Selecting previously unselected package libpotrace0:amd64. Preparing to unpack .../072-libpotrace0_1.16-2build1_amd64.deb ... Unpacking libpotrace0:amd64 (1.16-2build1) ... Selecting previously unselected package libteckit0:amd64. Preparing to unpack .../073-libteckit0_2.5.12+ds1-1_amd64.deb ... Unpacking libteckit0:amd64 (2.5.12+ds1-1) ... Selecting previously unselected package x11-common. Preparing to unpack .../074-x11-common_1%3a7.7+23ubuntu3_all.deb ... Unpacking x11-common (1:7.7+23ubuntu3) ... Selecting previously unselected package libice6:amd64. Preparing to unpack .../075-libice6_2%3a1.0.10-1build3_amd64.deb ... Unpacking libice6:amd64 (2:1.0.10-1build3) ... Selecting previously unselected package libsm6:amd64. Preparing to unpack .../076-libsm6_2%3a1.2.3-1build3_amd64.deb ... Unpacking libsm6:amd64 (2:1.2.3-1build3) ... Selecting previously unselected package libxt6t64:amd64. Preparing to unpack .../077-libxt6t64_1%3a1.2.1-1.2build1_amd64.deb ... Unpacking libxt6t64:amd64 (1:1.2.1-1.2build1) ... Selecting previously unselected package libxmu6:amd64. Preparing to unpack .../078-libxmu6_2%3a1.1.3-3build2_amd64.deb ... Unpacking libxmu6:amd64 (2:1.1.3-3build2) ... Selecting previously unselected package libxpm4:amd64. Preparing to unpack .../079-libxpm4_1%3a3.5.17-1build2_amd64.deb ... Unpacking libxpm4:amd64 (1:3.5.17-1build2) ... Selecting previously unselected package libxaw7:amd64. Preparing to unpack .../080-libxaw7_2%3a1.0.14-1build2_amd64.deb ... Unpacking libxaw7:amd64 (2:1.0.14-1build2) ... Selecting previously unselected package libxi6:amd64. Preparing to unpack .../081-libxi6_2%3a1.8.1-1build1_amd64.deb ... Unpacking libxi6:amd64 (2:1.8.1-1build1) ... Selecting previously unselected package libzzip-0-13t64:amd64. Preparing to unpack .../082-libzzip-0-13t64_0.13.72+dfsg.1-1.2build1_amd64.deb ... Unpacking libzzip-0-13t64:amd64 (0.13.72+dfsg.1-1.2build1) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../083-texlive-binaries_2023.20230311.66589-9build3_amd64.deb ... Unpacking texlive-binaries (2023.20230311.66589-9build3) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../084-xdg-utils_1.1.3-4.1ubuntu3_all.deb ... Unpacking xdg-utils (1.1.3-4.1ubuntu3) ... Selecting previously unselected package texlive-base. Preparing to unpack .../085-texlive-base_2023.20240207-1_all.deb ... Unpacking texlive-base (2023.20240207-1) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../086-texlive-latex-base_2023.20240207-1_all.deb ... Unpacking texlive-latex-base (2023.20240207-1) ... Selecting previously unselected package latexmk. Preparing to unpack .../087-latexmk_1%3a4.85-1_all.deb ... Unpacking latexmk (1:4.85-1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../088-libapache-pom-java_29-2_all.deb ... Unpacking libapache-pom-java (29-2) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../089-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../090-libcommons-logging-java_1.3.0-1ubuntu1_all.deb ... Unpacking libcommons-logging-java (1.3.0-1ubuntu1) ... Selecting previously unselected package libfl2:amd64. Preparing to unpack .../091-libfl2_2.6.4-8.2build1_amd64.deb ... Unpacking libfl2:amd64 (2.6.4-8.2build1) ... Selecting previously unselected package libfl-dev:amd64. Preparing to unpack .../092-libfl-dev_2.6.4-8.2build1_amd64.deb ... Unpacking libfl-dev:amd64 (2.6.4-8.2build1) ... Selecting previously unselected package libfontenc1:amd64. Preparing to unpack .../093-libfontenc1_1%3a1.1.8-1build1_amd64.deb ... Unpacking libfontenc1:amd64 (1:1.1.8-1build1) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../094-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../095-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../096-libjs-sphinxdoc_7.2.6-6_all.deb ... Unpacking libjs-sphinxdoc (7.2.6-6) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../097-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package libsystemc:amd64. Preparing to unpack .../098-libsystemc_2.3.4-3build1_amd64.deb ... Unpacking libsystemc:amd64 (2.3.4-3build1) ... Selecting previously unselected package libsystemc-dev:amd64. Preparing to unpack .../099-libsystemc-dev_2.3.4-3build1_amd64.deb ... Unpacking libsystemc-dev:amd64 (2.3.4-3build1) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../100-preview-latex-style_13.2-1_all.deb ... Unpacking preview-latex-style (13.2-1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../101-python-babel-localedata_2.14.0-1_all.deb ... Unpacking python-babel-localedata (2.14.0-1) ... Selecting previously unselected package python3-babel. Preparing to unpack .../102-python3-babel_2.14.0-1_all.deb ... Unpacking python3-babel (2.14.0-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../103-python3-certifi_2023.11.17-1_all.deb ... Unpacking python3-certifi (2023.11.17-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../104-python3-chardet_5.2.0+dfsg-1_all.deb ... Unpacking python3-chardet (5.2.0+dfsg-1) ... Selecting previously unselected package python3-roman. Preparing to unpack .../105-python3-roman_3.3-3_all.deb ... Unpacking python3-roman (3.3-3) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../106-python3-docutils_0.20.1+dfsg-3_all.deb ... Unpacking python3-docutils (0.20.1+dfsg-3) ... Selecting previously unselected package python3-idna. Preparing to unpack .../107-python3-idna_3.6-2_all.deb ... Unpacking python3-idna (3.6-2) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../108-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../109-python3-markupsafe_2.1.5-1build2_amd64.deb ... Unpacking python3-markupsafe (2.1.5-1build2) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../110-python3-jinja2_3.1.3-1_all.deb ... Unpacking python3-jinja2 (3.1.3-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../111-python3-packaging_24.0-1_all.deb ... Unpacking python3-packaging (24.0-1) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../112-python3-urllib3_2.0.7-1_all.deb ... Unpacking python3-urllib3 (2.0.7-1) ... Selecting previously unselected package python3-requests. Preparing to unpack .../113-python3-requests_2.31.0+dfsg-1ubuntu1_all.deb ... Unpacking python3-requests (2.31.0+dfsg-1ubuntu1) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../114-python3-snowballstemmer_2.2.0-4build1_all.deb ... Unpacking python3-snowballstemmer (2.2.0-4build1) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../115-sphinx-common_7.2.6-6_all.deb ... Unpacking sphinx-common (7.2.6-6) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../116-python3-alabaster_0.7.12-1_all.deb ... Unpacking python3-alabaster (0.7.12-1) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../117-python3-sphinx_7.2.6-6_all.deb ... Unpacking python3-sphinx (7.2.6-6) ... Selecting previously unselected package sphinx-rtd-theme-common. Preparing to unpack .../118-sphinx-rtd-theme-common_2.0.0+dfsg-1_all.deb ... Unpacking sphinx-rtd-theme-common (2.0.0+dfsg-1) ... Selecting previously unselected package python3-sphinxcontrib.jquery. Preparing to unpack .../119-python3-sphinxcontrib.jquery_4.1-5_all.deb ... Unpacking python3-sphinxcontrib.jquery (4.1-5) ... Selecting previously unselected package python3-sphinx-rtd-theme. Preparing to unpack .../120-python3-sphinx-rtd-theme_2.0.0+dfsg-1_all.deb ... Unpacking python3-sphinx-rtd-theme (2.0.0+dfsg-1) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../121-xfonts-encodings_1%3a1.0.5-0ubuntu2_all.deb ... Unpacking xfonts-encodings (1:1.0.5-0ubuntu2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../122-xfonts-utils_1%3a7.7+6build3_amd64.deb ... Unpacking xfonts-utils (1:7.7+6build3) ... Selecting previously unselected package tex-gyre. Preparing to unpack .../123-tex-gyre_20180621-6_all.deb ... Unpacking tex-gyre (20180621-6) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../124-libfontbox-java_1%3a1.8.16-5_all.deb ... Unpacking libfontbox-java (1:1.8.16-5) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../125-libpdfbox-java_1%3a1.8.16-5_all.deb ... Unpacking libpdfbox-java (1:1.8.16-5) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../126-texlive-latex-recommended_2023.20240207-1_all.deb ... Unpacking texlive-latex-recommended (2023.20240207-1) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../127-texlive-pictures_2023.20240207-1_all.deb ... Unpacking texlive-pictures (2023.20240207-1) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../128-texlive-latex-extra_2023.20240207-1_all.deb ... Unpacking texlive-latex-extra (2023.20240207-1) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../129-sbuild-build-depends-main-dummy_0.invalid.0_amd64.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:amd64 (1.5.7-2) ... Setting up libgraphite2-3:amd64 (1.3.14-2build1) ... Setting up libpixman-1-0:amd64 (0.42.2-1build1) ... Setting up libxau6:amd64 (1:1.0.9-1build6) ... Setting up fonts-lato (2.015-1) ... Setting up libmagic-mgc (1:5.45-3build1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.14.1ubuntu5) ... Setting up libbrotli1:amd64 (1.1.0-2build2) ... Setting up libfontbox-java (1:1.8.16-5) ... Setting up libmagic1t64:amd64 (1:5.45-3build1) ... Setting up x11-common (1:7.7+23ubuntu3) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of start. Setting up gettext-base (0.21-14ubuntu2) ... Setting up m4 (1.4.19-4build1) ... Setting up file (1:5.45-3build1) ... Setting up libelf1t64:amd64 (0.191-1) ... Setting up python-babel-localedata (2.14.0-1) ... Setting up libdw1t64:amd64 (0.191-1) ... Setting up help2man (1.49.3) ... Setting up libfontenc1:amd64 (1:1.1.8-1build1) ... Setting up libsystemc:amd64 (2.3.4-3build1) ... Setting up autotools-dev (20220109.1) ... Setting up libglib2.0-0t64:amd64 (2.80.1-0ubuntu2) ... No schema files found: doing nothing. Setting up libzzip-0-13t64:amd64 (0.13.72+dfsg.1-1.2build1) ... Setting up libfreetype6:amd64 (2.13.2+dfsg-1build3) ... Setting up libx11-data (2:1.8.7-1build1) ... Setting up libsystemc-dev:amd64 (2.3.4-3build1) ... Setting up libteckit0:amd64 (2.5.12+ds1-1) ... Setting up libapache-pom-java (29-2) ... Setting up xfonts-encodings (1:1.0.5-0ubuntu2) ... Setting up t1utils (1.41-4build3) ... Setting up libtexlua53-5:amd64 (2023.20230311.66589-9build3) ... Setting up fonts-dejavu-mono (2.37-8) ... Setting up autopoint (0.21-14ubuntu2) ... Setting up fonts-dejavu-core (2.37-8) ... Setting up libfl2:amd64 (2.6.4-8.2build1) ... Setting up ucf (3.0043+nmu1) ... Setting up libkpathsea6:amd64 (2023.20230311.66589-9build3) ... Setting up autoconf (2.71-3) ... Setting up libicu74:amd64 (74.2-1ubuntu3) ... Setting up dwz (0.15-1build6) ... Setting up libuchardet0:amd64 (0.0.8-1build1) ... Setting up libjson-perl (4.10000-1) ... Setting up bison (2:3.8.2+dfsg-1build2) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up debugedit (1:5.0-5build2) ... Setting up fonts-lmodern (2.005-1) ... Setting up libsub-override-perl (0.10-1) ... Setting up libharfbuzz0b:amd64 (8.3.0-2build2) ... Setting up netbase (6.4) ... Setting up sgml-base (1.31) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libbsd0:amd64 (0.12.2-1) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3ubuntu3) ... Setting up fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Setting up sphinx-rtd-theme-common (2.0.0+dfsg-1) ... Setting up xdg-utils (1.1.3-4.1ubuntu3) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up libsynctex2:amd64 (2023.20230311.66589-9build3) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up libpotrace0:amd64 (1.16-2build1) ... Setting up automake (1:1.16.5-1.3ubuntu1) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libpaper1:amd64 (1.1.29build1) ... Creating config file /etc/papersize with new version Setting up libice6:amd64 (2:1.0.10-1build3) ... Setting up libxdmcp6:amd64 (1:1.1.3-0ubuntu6) ... Setting up flex (2.6.4-8.2build1) ... Setting up libxcb1:amd64 (1.15-1ubuntu2) ... Setting up gettext (0.21-14ubuntu2) ... Setting up libpdfbox-java (1:1.8.16-5) ... Setting up libpython3.12-stdlib:amd64 (3.12.3-1) ... Setting up libtool (2.4.7-7build1) ... Setting up libxcb-render0:amd64 (1.15-1ubuntu2) ... Setting up fontconfig-config (2.15.0-1.1ubuntu2) ... Setting up python3.12 (3.12.3-1) ... Setting up libcommons-parent-java (56-1) ... Setting up libcommons-logging-java (1.3.0-1ubuntu1) ... Setting up libxcb-shm0:amd64 (1.15-1ubuntu2) ... Setting up libpaper-utils (1.1.29build1) ... Setting up xfonts-utils (1:7.7+6build3) ... Setting up libfl-dev:amd64 (2.6.4-8.2build1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up libptexenc1:amd64 (2023.20230311.66589-9build3) ... Setting up libjs-sphinxdoc (7.2.6-6) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-4) ... Setting up xml-core (0.19) ... Setting up libx11-6:amd64 (2:1.8.7-1build1) ... Setting up libfontconfig1:amd64 (2.15.0-1.1ubuntu2) ... Setting up libsm6:amd64 (2:1.2.3-1build3) ... Setting up tex-gyre (20180621-6) ... Setting up libpython3-stdlib:amd64 (3.12.3-0ubuntu1) ... Setting up libxpm4:amd64 (1:3.5.17-1build2) ... Setting up libxrender1:amd64 (1:0.9.10-1.1build1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up preview-latex-style (13.2-1) ... Setting up libxext6:amd64 (2:1.3.4-1build2) ... Setting up python3 (3.12.3-0ubuntu1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Created symlink '/etc/systemd/system/timers.target.wants/man-db.timer' → '/usr/lib/systemd/system/man-db.timer'. Setting up python3-markupsafe (2.1.5-1build2) ... Setting up libcairo2:amd64 (1.18.0-3build1) ... Setting up python3-roman (3.3-3) ... Setting up python3-jinja2 (3.1.3-1) ... Setting up python3-packaging (24.0-1) ... Setting up python3-certifi (2023.11.17-1) ... Setting up python3-snowballstemmer (2.2.0-4build1) ... Setting up sphinx-common (7.2.6-6) ... Setting up libxt6t64:amd64 (1:1.2.1-1.2build1) ... Setting up python3-idna (3.6-2) ... Setting up python3-urllib3 (2.0.7-1) ... Setting up python3-imagesize (1.4.1-1) ... Setting up python3-pkg-resources (68.1.2-2ubuntu1) ... Setting up libxmu6:amd64 (2:1.1.3-3build2) ... Setting up libxi6:amd64 (2:1.8.1-1build1) ... Setting up python3-babel (2.14.0-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-alabaster (0.7.12-1) ... Setting up debhelper (13.14.1ubuntu5) ... Setting up libxaw7:amd64 (2:1.0.14-1build2) ... Setting up python3-pygments (2.17.2+dfsg-1) ... Setting up python3-chardet (5.2.0+dfsg-1) ... Setting up python3-requests (2.31.0+dfsg-1ubuntu1) ... Setting up texlive-binaries (2023.20230311.66589-9build3) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up texlive-base (2023.20240207-1) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up texlive-latex-base (2023.20240207-1) ... Setting up texlive-latex-recommended (2023.20240207-1) ... Setting up texlive-pictures (2023.20240207-1) ... Setting up latexmk (1:4.85-1) ... Setting up texlive-latex-extra (2023.20240207-1) ... Processing triggers for libc-bin (2.39-0ubuntu8.1) ... Processing triggers for systemd (256~rc1-1~exp2ubuntu1) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.20.1+dfsg-3) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.20.1+dfsg-3) ... Setting up python3-sphinx (7.2.6-6) ... Setting up python3-sphinxcontrib.jquery (4.1-5) ... Setting up python3-sphinx-rtd-theme (2.0.0+dfsg-1) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for tex-common (6.18) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (amd64 included in any) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 5.4.0-177-generic #197-Ubuntu SMP Thu Mar 28 22:45:47 UTC 2024 amd64 (x86_64) Toolchain package versions: binutils_2.42-4ubuntu2 dpkg-dev_1.22.6ubuntu10 g++-13_13.2.0-24ubuntu1 gcc-13_13.2.0-24ubuntu1 libc6-dev_2.39-0ubuntu8.1 libstdc++-13-dev_13.2.0-24ubuntu1 libstdc++6_14-20240429-1ubuntu1 linux-libc-dev_6.8.0-31.31 Package versions: adduser_3.137ubuntu1 advancecomp_2.5-1build1 apt_2.9.2 apt-utils_2.9.2 autoconf_2.71-3 automake_1:1.16.5-1.3ubuntu1 autopoint_0.21-14ubuntu2 autotools-dev_20220109.1 base-files_13.1ubuntu1 base-passwd_3.6.3build1 bash_5.2.21-2ubuntu4 bash-completion_1:2.13.0-1 binutils_2.42-4ubuntu2 binutils-common_2.42-4ubuntu2 binutils-x86-64-linux-gnu_2.42-4ubuntu2 bison_2:3.8.2+dfsg-1build2 bsdextrautils_2.39.3-9ubuntu6 bsdutils_1:2.39.3-9ubuntu6 build-essential_12.10ubuntu1 bzip2_1.0.8-5.1 ca-certificates_20240203 coreutils_9.4-3ubuntu6 cpp_4:13.2.0-7ubuntu1 cpp-13_13.2.0-24ubuntu1 cpp-13-x86-64-linux-gnu_13.2.0-24ubuntu1 cpp-x86-64-linux-gnu_4:13.2.0-7ubuntu1 dash_0.5.12-6ubuntu5 debconf_1.5.86ubuntu1 debconf-i18n_1.5.86ubuntu1 debhelper_13.14.1ubuntu5 debianutils_5.17build1 debugedit_1:5.0-5build2 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffutils_1:3.10-1build1 docutils-common_0.20.1+dfsg-3 dpkg_1.22.6ubuntu10 dpkg-dev_1.22.6ubuntu10 dwz_0.15-1build6 e2fsprogs_1.47.0-2.4~exp1ubuntu4 fakeroot_1.34-1 file_1:5.45-3build1 findutils_4.9.0-5build1 flex_2.6.4-8.2build1 fontconfig-config_2.15.0-1.1ubuntu2 fonts-dejavu-core_2.37-8 fonts-dejavu-mono_2.37-8 fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1 fonts-lato_2.015-1 fonts-lmodern_2.005-1 g++_4:13.2.0-7ubuntu1 g++-13_13.2.0-24ubuntu1 g++-13-x86-64-linux-gnu_13.2.0-24ubuntu1 g++-x86-64-linux-gnu_4:13.2.0-7ubuntu1 gcc_4:13.2.0-7ubuntu1 gcc-13_13.2.0-24ubuntu1 gcc-13-base_13.2.0-24ubuntu1 gcc-13-x86-64-linux-gnu_13.2.0-24ubuntu1 gcc-14-base_14-20240429-1ubuntu1 gcc-x86-64-linux-gnu_4:13.2.0-7ubuntu1 gettext_0.21-14ubuntu2 gettext-base_0.21-14ubuntu2 gpg_2.4.4-2ubuntu17 gpg-agent_2.4.4-2ubuntu17 gpgconf_2.4.4-2ubuntu17 gpgv_2.4.4-2ubuntu17 grep_3.11-4build1 groff-base_1.23.0-4 gzip_1.12-1ubuntu3 help2man_1.49.3 hostname_3.23+nmu2ubuntu2 init_1.66ubuntu1 init-system-helpers_1.66ubuntu1 intltool-debian_0.35.0+20060710.6 krb5-locales_1.20.1-6ubuntu2 latexmk_1:4.85-1 libacl1_2.3.2-2 libapache-pom-java_29-2 libapparmor1_4.0.1-0ubuntu1 libapt-pkg6.0t64_2.9.2 libarchive-zip-perl_1.68-1 libargon2-1_0~20190702+dfsg-4build1 libasan8_14-20240429-1ubuntu1 libassuan0_2.5.6-1build1 libatomic1_14-20240429-1ubuntu1 libattr1_1:2.5.2-1build1 libaudit-common_1:3.1.2-2.1build1 libaudit1_1:3.1.2-2.1build1 libbinutils_2.42-4ubuntu2 libblkid1_2.39.3-9ubuntu6 libbrotli1_1.1.0-2build2 libbsd0_0.12.2-1 libbz2-1.0_1.0.8-5.1 libc-bin_2.39-0ubuntu8.1 libc-dev-bin_2.39-0ubuntu8.1 libc6_2.39-0ubuntu8.1 libc6-dev_2.39-0ubuntu8.1 libcairo2_1.18.0-3build1 libcap-ng0_0.8.5-1 libcap2_1:2.66-5ubuntu2 libcc1-0_14-20240429-1ubuntu1 libcom-err2_1.47.0-2.4~exp1ubuntu4 libcommons-logging-java_1.3.0-1ubuntu1 libcommons-parent-java_56-1 libcrypt-dev_1:4.4.36-4build1 libcrypt1_1:4.4.36-4build1 libcryptsetup12_2:2.7.0-1ubuntu4 libctf-nobfd0_2.42-4ubuntu2 libctf0_2.42-4ubuntu2 libdb5.3t64_5.3.28+dfsg2-7 libdebconfclient0_0.271ubuntu3 libdebhelper-perl_13.14.1ubuntu5 libdevmapper1.02.1_2:1.02.185-3ubuntu3 libdpkg-perl_1.22.6ubuntu10 libdw1t64_0.191-1 libelf1t64_0.191-1 libexpat1_2.6.2-1 libext2fs2t64_1.47.0-2.4~exp1ubuntu4 libfakeroot_1.34-1 libfdisk1_2.39.3-9ubuntu6 libffi8_3.4.6-1build1 libfile-stripnondeterminism-perl_1.13.1-1 libfl-dev_2.6.4-8.2build1 libfl2_2.6.4-8.2build1 libfontbox-java_1:1.8.16-5 libfontconfig1_2.15.0-1.1ubuntu2 libfontenc1_1:1.1.8-1build1 libfreetype6_2.13.2+dfsg-1build3 libgcc-13-dev_13.2.0-24ubuntu1 libgcc-s1_14-20240429-1ubuntu1 libgcrypt20_1.10.3-2build1 libgdbm-compat4t64_1.23-5.1build1 libgdbm6t64_1.23-5.1build1 libglib2.0-0t64_2.80.1-0ubuntu2 libgmp10_2:6.3.0+dfsg-2ubuntu6 libgnutls30t64_3.8.3-1.1ubuntu3.1 libgomp1_14-20240429-1ubuntu1 libgpg-error-l10n_1.47-3build2 libgpg-error0_1.47-3build2 libgpm2_1.20.7-11 libgprofng0_2.42-4ubuntu2 libgraphite2-3_1.3.14-2build1 libgssapi-krb5-2_1.20.1-6ubuntu2 libharfbuzz0b_8.3.0-2build2 libhogweed6t64_3.9.1-2.2build1 libhwasan0_14-20240429-1ubuntu1 libice6_2:1.0.10-1build3 libicu74_74.2-1ubuntu3 libidn2-0_2.3.7-2build1 libip4tc2_1.8.10-3ubuntu2 libisl23_0.26-3build1 libitm1_14-20240429-1ubuntu1 libjansson4_2.14-2build2 libjs-jquery_3.6.1+dfsg+~3.5.14-1 libjs-sphinxdoc_7.2.6-6 libjs-underscore_1.13.4~dfsg+~1.11.4-3 libjson-c5_0.17-1build1 libjson-perl_4.10000-1 libk5crypto3_1.20.1-6ubuntu2 libkeyutils1_1.6.3-3build1 libkmod2_31+20240202-2ubuntu7 libkpathsea6_2023.20230311.66589-9build3 libkrb5-3_1.20.1-6ubuntu2 libkrb5support0_1.20.1-6ubuntu2 liblocale-gettext-perl_1.07-6ubuntu5 liblockfile-bin_1.17-1build3 liblockfile1_1.17-1build3 liblsan0_14-20240429-1ubuntu1 liblz4-1_1.9.4-2 liblzma5_5.6.1+really5.4.5-1 libmagic-mgc_1:5.45-3build1 libmagic1t64_1:5.45-3build1 libmd0_1.1.0-2build1 libmount1_2.39.3-9ubuntu6 libmpc3_1.3.1-1build1 libmpfr6_4.2.1-1build1 libncursesw6_6.4+20240113-1ubuntu2 libnettle8t64_3.9.1-2.2build1 libnpth0t64_1.6-3.1build1 libnsl-dev_1.3.0-3build3 libnsl2_1.3.0-3build3 libnss-nis_3.1-0ubuntu7 libnss-nisplus_1.3-5build1 libp11-kit0_0.25.3-4ubuntu2 libpam-modules_1.5.3-7ubuntu1 libpam-modules-bin_1.5.3-7ubuntu1 libpam-runtime_1.5.3-7ubuntu1 libpam0g_1.5.3-7ubuntu1 libpaper-utils_1.1.29build1 libpaper1_1.1.29build1 libpcre2-8-0_10.42-4ubuntu2 libpdfbox-java_1:1.8.16-5 libperl5.36_5.36.0-9ubuntu1 libperl5.38t64_5.38.2-4 libpipeline1_1.5.7-2 libpixman-1-0_0.42.2-1build1 libpng16-16t64_1.6.43-5build1 libpotrace0_1.16-2build1 libproc2-0_2:4.0.4-4ubuntu3 libptexenc1_2023.20230311.66589-9build3 libpython3-stdlib_3.12.3-0ubuntu1 libpython3.12-minimal_3.12.3-1 libpython3.12-stdlib_3.12.3-1 libquadmath0_14-20240429-1ubuntu1 libreadline8t64_8.2-4build1 libseccomp2_2.5.5-1ubuntu3 libselinux1_3.5-2ubuntu2 libsemanage-common_3.5-1build5 libsemanage2_3.5-1build5 libsepol2_3.5-2build1 libsframe1_2.42-4ubuntu2 libsm6_2:1.2.3-1build3 libsmartcols1_2.39.3-9ubuntu6 libsqlite3-0_3.45.3-1 libss2_1.47.0-2.4~exp1ubuntu4 libssl3t64_3.0.13-0ubuntu3 libstdc++-13-dev_13.2.0-24ubuntu1 libstdc++6_14-20240429-1ubuntu1 libsub-override-perl_0.10-1 libsynctex2_2023.20230311.66589-9build3 libsystemc_2.3.4-3build1 libsystemc-dev_2.3.4-3build1 libsystemd-shared_256~rc1-1~exp2ubuntu1 libsystemd0_256~rc1-1~exp2ubuntu1 libtasn1-6_4.19.0-3build1 libteckit0_2.5.12+ds1-1 libtexlua53-5_2023.20230311.66589-9build3 libtext-charwidth-perl_0.04-11build3 libtext-iconv-perl_1.7-8build3 libtext-wrapi18n-perl_0.06-10 libtinfo6_6.4+20240113-1ubuntu2 libtirpc-common_1.3.4+ds-1.3 libtirpc-dev_1.3.4+ds-1.3 libtirpc3t64_1.3.4+ds-1.3 libtool_2.4.7-7build1 libtsan2_14-20240429-1ubuntu1 libubsan1_14-20240429-1ubuntu1 libuchardet0_0.0.8-1build1 libudev1_256~rc1-1~exp2ubuntu1 libunistring2_1.0-2 libunistring5_1.2-1 libuuid1_2.39.3-9ubuntu6 libx11-6_2:1.8.7-1build1 libx11-data_2:1.8.7-1build1 libxau6_1:1.0.9-1build6 libxaw7_2:1.0.14-1build2 libxcb-render0_1.15-1ubuntu2 libxcb-shm0_1.15-1ubuntu2 libxcb1_1.15-1ubuntu2 libxdmcp6_1:1.1.3-0ubuntu6 libxext6_2:1.3.4-1build2 libxi6_2:1.8.1-1build1 libxml2_2.9.14+dfsg-1.3ubuntu3 libxmu6_2:1.1.3-3build2 libxpm4_1:3.5.17-1build2 libxrender1_1:0.9.10-1.1build1 libxt6t64_1:1.2.1-1.2build1 libxxhash0_0.8.2-2build1 libzstd1_1.5.5+dfsg2-2build1 libzzip-0-13t64_0.13.72+dfsg.1-1.2build1 linux-libc-dev_6.8.0-31.31 lockfile-progs_0.1.19+nmu1 login_1:4.13+dfsg1-4ubuntu3 logsave_1.47.0-2.4~exp1ubuntu4 lto-disabled-list_48 m4_1.4.19-4build1 make_4.3-4.1build2 man-db_2.12.1-1 mawk_1.3.4.20240123-1build1 media-types_10.1.0 mount_2.39.3-9ubuntu6 ncurses-base_6.4+20240113-1ubuntu2 ncurses-bin_6.4+20240113-1ubuntu2 netbase_6.4 openssl_3.0.13-0ubuntu3 optipng_0.7.8+ds-1build2 passwd_1:4.13+dfsg1-4ubuntu3 patch_2.7.6-7build3 perl_5.38.2-4 perl-base_5.38.2-4 perl-modules-5.36_5.36.0-9ubuntu1 perl-modules-5.38_5.38.2-4 pinentry-curses_1.2.1-3ubuntu5 pkgbinarymangler_154 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3.1 preview-latex-style_13.2-1 procps_2:4.0.4-4ubuntu3 psmisc_23.7-1build1 python-babel-localedata_2.14.0-1 python3_3.12.3-0ubuntu1 python3-alabaster_0.7.12-1 python3-babel_2.14.0-1 python3-certifi_2023.11.17-1 python3-chardet_5.2.0+dfsg-1 python3-docutils_0.20.1+dfsg-3 python3-idna_3.6-2 python3-imagesize_1.4.1-1 python3-jinja2_3.1.3-1 python3-markupsafe_2.1.5-1build2 python3-minimal_3.12.3-0ubuntu1 python3-packaging_24.0-1 python3-pkg-resources_68.1.2-2ubuntu1 python3-pygments_2.17.2+dfsg-1 python3-requests_2.31.0+dfsg-1ubuntu1 python3-roman_3.3-3 python3-snowballstemmer_2.2.0-4build1 python3-sphinx_7.2.6-6 python3-sphinx-rtd-theme_2.0.0+dfsg-1 python3-sphinxcontrib.jquery_4.1-5 python3-urllib3_2.0.7-1 python3.12_3.12.3-1 python3.12-minimal_3.12.3-1 readline-common_8.2-4build1 rpcsvc-proto_1.4.2-0ubuntu7 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-2build1 sensible-utils_0.0.22 sgml-base_1.31 sphinx-common_7.2.6-6 sphinx-rtd-theme-common_2.0.0+dfsg-1 systemd_256~rc1-1~exp2ubuntu1 systemd-dev_256~rc1-1~exp2ubuntu1 systemd-sysv_256~rc1-1~exp2ubuntu1 sysvinit-utils_3.08-6ubuntu3 t1utils_1.41-4build3 tar_1.35+dfsg-3build1 tex-common_6.18 tex-gyre_20180621-6 texlive-base_2023.20240207-1 texlive-binaries_2023.20230311.66589-9build3 texlive-latex-base_2023.20240207-1 texlive-latex-extra_2023.20240207-1 texlive-latex-recommended_2023.20240207-1 texlive-pictures_2023.20240207-1 tzdata_2024a-4ubuntu1 ubuntu-keyring_2023.11.28.1 ucf_3.0043+nmu1 util-linux_2.39.3-9ubuntu6 uuid-runtime_2.39.3-9ubuntu6 x11-common_1:7.7+23ubuntu3 xdg-utils_1.1.3-4.1ubuntu3 xfonts-encodings_1:1.0.5-0ubuntu2 xfonts-utils_1:7.7+6build3 xml-core_0.19 xz-utils_5.6.1+really5.4.5-1 zlib1g_1:1.3.dfsg-3.1ubuntu2 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: verilator Binary: verilator Architecture: any Version: 5.024-1 Maintainer: Debian Electronics Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) , Homepage: http://www.veripool.org/wiki/verilator Standards-Version: 4.7.0 Vcs-Browser: https://salsa.debian.org/electronics-team/verilator Vcs-Git: https://salsa.debian.org/electronics-team/verilator.git Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev [amd64 arm64 i386 kfreebsd-any], help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra Package-List: verilator deb electronics optional arch=any Checksums-Sha1: 86e64c1015b686c932f97d950c0017ce93b2269c 4208236 verilator_5.024.orig.tar.gz a14de6284677bd9a196192da7eb428195b3f0a25 12480 verilator_5.024-1.debian.tar.xz Checksums-Sha256: c02e009b1d76863e8e3e9557b566f86cbe5f9799815d64b849eed5d76a81ecd5 4208236 verilator_5.024.orig.tar.gz e51b194ebfbe4bf57870f3c100a2ea8364c1af7c150abe0ff730e85d53fbb862 12480 verilator_5.024-1.debian.tar.xz Files: e3e7e428f1d8261d8721f82fe2a42cb6 4208236 verilator_5.024.orig.tar.gz 944794bdbc657acdee332a77682fadcf 12480 verilator_5.024-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEEtw38bxNP7PwBHmKqgwFgFCUdHbAFAmY+UtMACgkQgwFgFCUd HbC7KA//RcqVIJyQdRNeD0Kuuxf4qqJmAQAzoeYTlolmT/zOOOiY5OFDH19h8Eud rUOK/LSxDdxFJWeGgnMQmXCLPBAeTc6jkAFPJ6G76/fJPfvpGZ+ckpxX7CxtPMbu wo2E6dE62V0XQgIBZ4IH3OyuSEBoey+wv2wc+Cxg21hVYQQcZzw8mFW6H6IBbxTl 0TPo6+wuyeQI/+4jj/n5oNFIWZmQ0VwtUS0Rdr9At9w+YQWeQva1OMv/q2c0MVEQ LDoaE3wS00wEB0OWojzA3526JM5/6ffQj6OoVNUSWKboH9RjxDYOQgoFJ1s1OOoH sFX9f3z7OxNi5mKbuReszw9xTMITPspZmmx2Gvw3iE+3Q+okTcQsuqeYiP3DybJ0 bhVLODBL2cSe7rQpNii+jcAPi4WDEsZnhTM7f9QGHAXs3rw5i+4mEQn6CuFxE5Tb q06uX98GbU+lZipy0YPUzHdLctd1ceZ2MJH5dtXE/kWBEgB8XLZz5+dM2jJRD6c5 SyAEv2nydYXrnOp7DP3FuBlt4Wb0K517HmZ2ttjD9CTBM2Bypr98r40QDA34t6yP dMMqEWYUOVhsOTT5lqZQicmp/OGKdexhNtF2iodOxacNPqbGkvoGboyRkBCseUJb jJlt71Ymmbgp49+EoU7x1Ii8cq5KNTyB9qiRI5qr8hEkw+VkK8A= =Cjds -----END PGP SIGNATURE----- gpgv: Signature made Fri May 10 17:01:07 2024 UTC gpgv: using RSA key B70DFC6F134FECFC011E62AA83016014251D1DB0 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./verilator_5.024-1.dsc: no acceptable signature found dpkg-source: info: extracting verilator in /<> dpkg-source: info: unpacking verilator_5.024.orig.tar.gz dpkg-source: info: unpacking verilator_5.024-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying pkgconfig.diff Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-28438064 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-28438064 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-28438064 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage -us -uc -mLaunchpad Build Daemon -b -rfakeroot dpkg-buildpackage: info: source package verilator dpkg-buildpackage: info: source version 5.024-1 dpkg-buildpackage: info: source distribution unstable dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 debian/rules clean dh clean debian/rules override_dh_clean make[1]: Entering directory '/<>' dh_clean # Reset src/config_rev into the original state if needed. if [ -f debian/config_rev.save ]; then \ cp -f debian/config_rev.save src/config_rev ;\ fi rm -rf config.log configure docs/_build src/config_rev.h verilator-config.cmake verilator-config-version.cmake verilator.pc make[1]: Leaving directory '/<>' debian/rules binary dh binary dh_update_autotools_config debian/rules override_dh_autoreconf make[1]: Entering directory '/<>' # Work around we need to keep the original files for idempotency in case # we run dh_clean. The file isn't generated from "§SOMETHING". if [ ! -f debian/config_rev.save ]; then \ cp src/config_rev debian/config_rev.save ;\ fi # We don't build on top of a working git tree and the build system # can't find a git revision. Adding a Debian version to the final # string instead. sed -i 's/UNKNOWN_REV/(Debian 5.024-1)/g' src/config_rev autoconf make[1]: Leaving directory '/<>' dh_auto_configure ./configure --build=x86_64-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/x86_64-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking configuring for Verilator 5.024 2024-04-05 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... none needed checking for g++... g++ checking whether the compiler supports GNU C++... yes checking whether g++ accepts -g... yes checking for g++ option to enable C++11 features... none needed checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (Ubuntu 13.2.0-24ubuntu1) 13.2.0 checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.8.2 checking for ccache... no checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -pg... yes checking whether g++ accepts -std=gnu++17... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -fcoroutines-ts... no checking whether g++ accepts -fcoroutines... yes checking whether coroutines are supported by g++... yes checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -Og... yes checking whether g++ accepts -ggdb... yes checking whether g++ accepts -gz... yes checking whether g++ linker accepts -gz... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-c++11-narrowing... no checking whether g++ accepts -Wno-constant-logical-operand... no checking whether g++ accepts -Wno-non-pod-varargs... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-tautological-compare... yes checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-parameter... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... yes checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports C++14... yes checking for g++ precompile header include option... -include checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_package.h Now type 'make' (or sometimes 'gmake') to build Verilator. debian/rules override_dh_auto_build make[1]: Entering directory '/<>' dh_auto_build make -j4 make[2]: Entering directory '/<>' pod2man bin/verilator verilator.1 ------------------------------------------------------------ pod2man bin/verilator_coverage verilator_coverage.1 help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 making verilator in src make -C src make[3]: Entering directory '/<>/src' mkdir -p obj_dbg /usr/bin/python3 ./config_rev . >config_rev.h /bin/sh: 1: git: not found help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 /bin/sh: 1: git: not found mkdir -p obj_opt make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make[4]: Entering directory '/<>/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes touch vlcovgen.d make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -latomic -lm make[4]: Leaving directory '/<>/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[4]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgCache.cpp -o V3DfgCache.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgRegularize.cpp -o V3DfgRegularize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ExecGraph.cpp -o V3ExecGraph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgCache.cpp -o V3DfgCache.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgRegularize.cpp -o V3DfgRegularize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ExecGraph.cpp -o V3ExecGraph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderGraphBuilder.cpp -o V3OrderGraphBuilder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderMoveGraph.cpp -o V3OrderMoveGraph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderParallel.cpp -o V3OrderParallel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderProcessDomains.cpp -o V3OrderProcessDomains.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderSerial.cpp -o V3OrderSerial.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderGraphBuilder.cpp -o V3OrderGraphBuilder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderMoveGraph.cpp -o V3OrderMoveGraph.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderParallel.cpp -o V3OrderParallel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderProcessDomains.cpp -o V3OrderProcessDomains.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3OrderSerial.cpp -o V3OrderSerial.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3StackCount.cpp -o V3StackCount.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3StackCount.cpp -o V3StackCount.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o Linking ../../bin/verilator_bin_dbg... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgCache.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DfgRegularize.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3ExecGraph.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3OrderGraphBuilder.o V3OrderMoveGraph.o V3OrderParallel.o V3OrderProcessDomains.o V3OrderSerial.o V3Param.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3StackCount.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -latomic -lm g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o V3Lexer_pregen.yy.cpp:236:8: warning: type ‘struct yy_buffer_state’ violates the C++ One Definition Rule [-Wodr] 236 | struct yy_buffer_state | ^ V3PreLex_pregen.yy.cpp:488:8: note: a different type is defined in another translation unit 488 | struct yy_buffer_state | ^ V3Lexer_pregen.yy.cpp:242:25: note: the first difference of corresponding definitions is field ‘yy_input_file’ 242 | std::streambuf* yy_input_file; | ^ V3PreLex_pregen.yy.cpp:491:15: note: a field of same name but different type is defined in another translation unit 491 | FILE *yy_input_file; | ^ V3Lexer_pregen.yy.cpp:236:8: note: type name ‘std::basic_streambuf >’ should match type name ‘_IO_FILE’ 236 | struct yy_buffer_state | ^ g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o Linking ../../bin/verilator_bin... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgCache.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DfgRegularize.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3ExecGraph.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3OrderGraphBuilder.o V3OrderMoveGraph.o V3OrderParallel.o V3OrderProcessDomains.o V3OrderSerial.o V3Param.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3StackCount.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -latomic -lm V3Lexer_pregen.yy.cpp:236:8: warning: type ‘struct yy_buffer_state’ violates the C++ One Definition Rule [-Wodr] 236 | struct yy_buffer_state | ^ V3PreLex_pregen.yy.cpp:488:8: note: a different type is defined in another translation unit 488 | struct yy_buffer_state | ^ V3Lexer_pregen.yy.cpp:242:25: note: the first difference of corresponding definitions is field ‘yy_input_file’ 242 | std::streambuf* yy_input_file; | ^ V3PreLex_pregen.yy.cpp:491:15: note: a field of same name but different type is defined in another translation unit 491 | FILE *yy_input_file; | ^ V3Lexer_pregen.yy.cpp:236:8: note: type name ‘std::basic_streambuf >’ should match type name ‘_IO_FILE’ 236 | struct yy_buffer_state | ^ make[4]: Leaving directory '/<>/src/obj_dbg' make[4]: Leaving directory '/<>/src/obj_opt' make[3]: Leaving directory '/<>/src' Build complete! Now type 'make test' to test. make[2]: Leaving directory '/<>' ########################################## # Build sphinx based HTML documentation. # ########################################## cd docs && make html make[2]: Entering directory '/<>/docs' make vl-extract make[3]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/<>/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v7.2.6 making output directory... done building [mo]: targets for 0 po files that are out of date writing output... building [html]: targets for 30 source files that are out of date updating environment: [new config] 30 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 13%] contributors reading sources... [ 17%] copyright reading sources... [ 20%] deprecations reading sources... [ 23%] environment reading sources... [ 27%] example_binary reading sources... [ 30%] example_cc reading sources... [ 33%] example_common_install reading sources... [ 37%] example_dist reading sources... [ 40%] example_sc reading sources... [ 43%] examples reading sources... [ 47%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 63%] executables reading sources... [ 67%] extensions reading sources... [ 70%] faq reading sources... [ 73%] files reading sources... [ 77%] index reading sources... [ 80%] install reading sources... [ 83%] install-cmake reading sources... [ 87%] languages reading sources... [ 90%] overview reading sources... [ 93%] simulating reading sources... [ 97%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done copying assets... copying static files... done copying extra files... done done writing output... [ 3%] changes writing output... [ 7%] connecting writing output... [ 10%] contributing writing output... [ 13%] contributors writing output... [ 17%] copyright writing output... [ 20%] deprecations writing output... [ 23%] environment writing output... [ 27%] example_binary writing output... [ 30%] example_cc writing output... [ 33%] example_common_install writing output... [ 37%] example_dist writing output... [ 40%] example_sc writing output... [ 43%] examples writing output... [ 47%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 57%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfunc writing output... [ 63%] executables writing output... [ 67%] extensions writing output... [ 70%] faq writing output... [ 73%] files writing output... [ 77%] index writing output... [ 80%] install writing output... [ 83%] install-cmake writing output... [ 87%] languages writing output... [ 90%] overview writing output... [ 93%] simulating writing output... [ 97%] verilating writing output... [100%] warnings generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png dumping search index in English (code: en)... done dumping object inventory... done build succeeded. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/<>/docs' ######################################### # Build sphinx based PDF documentation. # ######################################### cd docs && make pdf make[2]: Entering directory '/<>/docs' make vl-extract make[3]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/<>/docs' make latex make[3]: Entering directory '/<>/docs' make vl-extract make[4]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[4]: Leaving directory '/<>/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v7.2.6 making output directory... done loading pickled environment... done building [mo]: targets for 0 po files that are out of date writing output... building [latex]: all documents updating environment: 0 added, 3 changed, 0 removed reading sources... [ 33%] changes reading sources... [ 67%] exe_sim reading sources... [100%] exe_verilator looking for now-outdated files... none found pickling environment... done checking consistency... done copying TeX support files... copying TeX support files... done processing verilator.tex... index overview examples example_binary example_cc example_sc example_dist install install-cmake verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfunc exe_sim warnings files environment deprecations contributors changes copyright resolving references... done writing... done copying images... [100%] figures/fig_gantt_min.png build succeeded. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[3]: Leaving directory '/<>/docs' python3 bin/vl_sphinx_fix _build make -C _build/latex make[3]: Entering directory '/<>/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: /etc/LatexMk latexmkrc Latexmk: This is Latexmk, John Collins, 7 Apr. 2024. Version 4.85. No existing .aux file, so I'll make a simple one, and require run of *latex. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Category 'other': Rerun of 'pdflatex' forced or previously required: Reason or flag: 'Initial setup' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 81. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-e c.enc} <./verilator_logo.png>] No file verilator.toc. [1] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 123. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 152. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_binary:example-create-binary-execution' on page 2 undefined on input line 164. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 168. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 172. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 176. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 187. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 190. LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 211. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 2 undef ined on input line 231. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 2 undefined on input line 236. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 2 undefin ed on input line 241. [2{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc}] LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 282. [3] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 4 undefined on input line 337. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 4 undefine d on input line 341. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 4 undefi ned on input line 346. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 4 undefined on input line 348. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 4 undefined on input line 352. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 4 undefin ed on input line 357. LaTeX Warning: Hyper reference `files:files-read-written' on page 4 undefined o n input line 375. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 4 undef ined on input line 405. LaTeX Warning: Hyper reference `install:installation' on page 4 undefined on in put line 409. [4] [5] [6] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 7 undefined on inp ut line 569. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 7 undefined on input line 584. [7] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 8 undefined on input line 621. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 693. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 694. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 744. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 745. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 745. [9] LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 768. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 10 undefined on input line 769. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 791. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 817. [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 858. [11] [12] [13] Chapter 4. LaTeX Warning: Hyper reference `install:obtain-sources' on page 14 undefined on input line 1068. [14] [15] Chapter 5. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 16 unde fined on input line 1122. LaTeX Warning: Hyper reference `verilating:binary-c-and-systemc-generation' on page 16 undefined on input line 1124. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1128. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1128. LaTeX Warning: Hyper reference `verilating:binary-c-and-systemc-generation' on page 16 undefined on input line 1129. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 16 u ndefined on input line 1133. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 16 un defined on input line 1138. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 16 undefined on input line 1144. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 16 unde fined on input line 1158. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 16 undefined on input line 1168. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 16 und efined on input line 1169. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 16 undefi ned on input line 1175. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 16 unde fined on input line 1176. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 16 unde fined on input line 1181. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 16 undefi ned on input line 1181. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 16 unde fined on input line 1187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 16 undefin ed on input line 1187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 16 unde fined on input line 1193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 16 undef ined on input line 1193. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 16 undefined on in put line 1193. LaTeX Warning: Hyper reference `verilating:cmake' on page 16 undefined on input line 1193. LaTeX Warning: Hyper reference `simulating:simulating' on page 16 undefined on input line 1199. [16] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1217. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1219. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 17 undefined on input line 1230. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 17 undefined on input line 1234. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 17 u ndefined on input line 1234. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 7 undefined on input line 1239. Underfull \hbox (badness 8019) in paragraph at lines 1292--1295 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 18 undefined on input line 1306. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 18 undefined on input line 1312. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 18 undef ined on input line 1316. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1361. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1368. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 18 undefined on input line 1388. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 18 undef ined on input line 1393. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1395. [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1398. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 19 u ndefined on input line 1398. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1399. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1400. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1400. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1401. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1405. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1409. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1423. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1427. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 19 u ndefined on input line 1448. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 19 un defined on input line 1459. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-dpi' on page 19 undefined on input line 1463. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 19 und efined on input line 1466. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 19 undefine d on input line 1470. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 19 undef ined on input line 1474. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 19 undefin ed on input line 1478. [19] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 20 unde fined on input line 1501. [20] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 21 und efined on input line 1719. [21] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 22 undefined on input line 1731. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 22 undefi ned on input line 1782. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 22 unde fined on input line 1783. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-quiet-stats' on page 22 undefined on input line 1858. [22] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 23 undef ined on input line 1990. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 23 undef ined on input line 2027. [23] Chapter 6. LaTeX Warning: Hyper reference `files:files-read-written' on page 24 undefined on input line 2049. [24] Overfull \hbox (36.06451pt too wide) in paragraph at lines 2124--2128 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 25 undefine d on input line 2137. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 25 unde fined on input line 2151. LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 25 undefine d on input line 2154. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 25 undefine d on input line 2160. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 25 u ndefined on input line 2168. [25] [26] [27] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 28 undefined on input line 2387. [28] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 29 undefin ed on input line 2460. [29] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 30 unde fined on input line 2575. [30] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 31 undefi ned on input line 2594. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 31 unde fined on input line 2594. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 31 unde fined on input line 2600. [31] Chapter 7. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 3 2 undefined on input line 2650. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 32 undefi ned on input line 2657. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-quiet' on page 32 undefined on input line 2658. [32] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 33 undefine d on input line 2766. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 33 un defined on input line 2767. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 33 u ndefined on input line 2768. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 33 unde fined on input line 2769. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 33 undefine d on input line 2769. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 33 un defined on input line 2771. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 33 un defined on input line 2772. LaTeX Warning: Hyper reference `verilating:multithreading' on page 33 undefined on input line 2778. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 33 undefined on input line 2779. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 33 un defined on input line 2783. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 3 3 undefined on input line 2811. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 33 unde fined on input line 2818. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 33 und efined on input line 2818. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 33 undefined o n input line 2854. [33] Underfull \hbox (badness 10000) in paragraph at lines 2867--2872 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS LaTeX Warning: Hyper reference `simulating:profiling' on page 34 undefined on i nput line 2875. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 34 un defined on input line 2887. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 34 undefined on input line 2892. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 34 undefined on input line 2896. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 34 undefine d on input line 2900. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 34 unde fined on input line 2906. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 34 un defined on input line 2912. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 34 undefined on input line 2912. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 34 unde fined on input line 2918. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 34 un defined on input line 2932. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 34 undefined on input line 2932. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 34 undefined on input line 2941. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 34 undefined on input line 2943. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 34 undefined on input line 2944. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 34 un defined on input line 2949. [34] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 35 un defined on input line 2957. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 35 undefined on input line 2957. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 35 undefined on input line 2967. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 35 undefined on input line 2968. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 35 undefined on input line 2993. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 35 undefined on input line 2994. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 35 unde fined on input line 3010. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 35 undefi ned on input line 3010. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-coverage-file-f ilename' on page 35 undefined on input line 3012. Underfull \hbox (badness 10000) in paragraph at lines 3015--3020 []\T1/qtm/m/n/10 In the user wrap-per code, typ-i-cally at the end once a test passes, call [35] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 36 undefined on input line 3074. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 36 u ndefined on input line 3105. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 36 undefined on input line 3114. Underfull \hbox (badness 6157) in paragraph at lines 3112--3115 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with \T1/txtt/m/it/10 +ver-i-la- [36] [37 <./fig_gantt_min.png>] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 38 und efined on input line 3189. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 38 und efined on input line 3190. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 38 undefined on input line 3233. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 38 undefined o n input line 3237. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 38 un defined on input line 3263. [38] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 39 un defined on input line 3272. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-PROFOUTOFDATE' on page 3 9 undefined on input line 3290. [39] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 40 undefined on input line 3367. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 40 undefined on input line 3374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 40 undefined on input line 3391. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 40 undefined on input line 3392. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 40 undefined on input line 3397. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debug' on page 40 undefined on input line 3399. [40] Chapter 8. LaTeX Warning: Hyper reference `languages:language-limitations' on page 41 unde fined on input line 3418. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 41 undef ined on input line 3421. [41] [42] [43] Chapter 9. [44] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 45 undef ined on input line 3741. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 45 undefined on input line 3744. [45] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 46 undef ined on input line 3755. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 46 unde fined on input line 3762. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 46 unde fined on input line 3801. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 46 undefin ed on input line 3801. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 46 undef ined on input line 3814. Overfull \vbox (0.53639pt too high) detected at line 3851 [46] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 47 unde fined on input line 3860. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 47 undefin ed on input line 3861. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 47 u ndefined on input line 3872. [47] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 48 undefined on input line 3925. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 48 undefined on input line 3931. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 48 undefined on input line 3932. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 48 undefined on input line 3992. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 48 unde fined on input line 4000. [48] LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 49 undefine d on input line 4007. (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 49 undefin ed on input line 4023. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 49 unde fined on input line 4024. LaTeX Warning: Hyper reference `connecting:connecting' on page 49 undefined on input line 4042. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 9 undefined on input line 4060. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 9 undefined on input line 4068. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 9 undefined on input line 4081. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 49 undefined on input line 4087. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 49 undefined on input line 4094. [49] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 50 undefined on input line 4136. [50] [51] Chapter 10. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 52 u ndefined on input line 4220. [52] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 53 un defined on input line 4299. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 53 un defined on input line 4300. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 53 unde fined on input line 4310. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 53 unde fined on input line 4332. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ZERODLY' on page 53 unde fined on input line 4364. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-RISEFALLDLY' on page 53 undefined on input line 4371. [53] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MINTYPMAX' on page 54 un defined on input line 4375. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 54 unde fined on input line 4378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 54 undefi ned on input line 4378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 54 undef ined on input line 4381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 54 u ndefined on input line 4384. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NOTIMING' on page 54 und efined on input line 4384. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 54 unde fined on input line 4390. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 54 un defined on input line 4395. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 54 undefined on input line 4420. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 54 unde fined on input line 4425. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 54 u ndefined on input line 4425. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NEEDTIMINGOPT' on page 5 4 undefined on input line 4426. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 54 undefined on input line 4439. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 54 undefined on input line 4445. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 54 undefined on input line 4446. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 54 unde fined on input line 4448. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 54 u ndefined on input line 4448. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 54 undefined on input line 4449. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 54 undefined on input line 4449. [54] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 55 un defined on input line 4552. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 55 u ndefined on input line 4561. [55] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 56 un defined on input line 4572. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 56 undefined on input line 4579. [56] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 57 undefined on input line 4674. [57] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 58 undef ined on input line 4790. [58] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 59 undefined on input line 4827. [59] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 60 u ndefined on input line 4869. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 60 u ndefined on input line 4883. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 60 undefined on input line 4973. [60] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 61 undefined on input line 5035. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 61 u ndefined on input line 5035. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5047. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5048. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5063. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5064. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5079. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5080. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5095. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5096. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5111. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5112. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 61 un defined on input line 5127. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 61 undefined on input line 5128. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 61 undefined on input line 5136. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 61 un defined on input line 5150. [61] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 62 un defined on input line 5193. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 62 u ndefined on input line 5194. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 62 unde fined on input line 5206. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 62 undefined on input line 5208. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 62 undefined on input line 5222. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 62 undefined on input line 5260. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 62 undefin ed on input line 5281. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clocker' on page 62 undefined on input line 5284. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_clocker' on page 62 undefined on input line 5284. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 62 undefined on input line 5301. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 62 un defined on input line 5327. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 62 undefined on input line 5328. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 62 undefined on input line 5343. [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-forceable' on page 63 undefined on input line 5353. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 3 undefined on input line 5369. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 63 undefined on input line 5372. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 63 unde fined on input line 5386. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 63 undefined on input line 5391. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 63 un defined on input line 5405. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-isolate_assignments ' on page 63 undefined on input line 5446. [63] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 64 undefined on input line 5527. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 64 undefined on input line 5547. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 64 undefined on input line 5606. [64] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 65 undefined on input line 5642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 65 undefined on input line 5661. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 65 undefined on input line 5677. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 65 undefined on input line 5693. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 65 undefined on input line 5696. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 65 unde fined on input line 5760. [65] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 66 undefined on input line 5763. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sc_bv' on page 66 u ndefined on input line 5799. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sformat' on page 66 undefined on input line 5818. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 66 un defined on input line 5833. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-split_var' on page 66 undefined on input line 5864. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 66 u ndefined on input line 5892. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 66 undefined on input line 5896. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 66 undefined on input line 5909. [66] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_on' on page 67 undefined on input line 5912. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 67 undefined on input line 5932. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 7 undefined on input line 5933. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 67 undef ined on input line 5933. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 6 7 undefined on input line 5975. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 6 7 undefined on input line 5994. [67] Chapter 12. [68] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [69] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [70] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [71] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 72 undefin ed on input line 6266. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 72 unde fined on input line 6272. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 72 und efined on input line 6272. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 72 und efined on input line 6287. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 72 undefin ed on input line 6294. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 72 undefined on input line 6374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 72 undefined on input line 6374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 72 undefined on input line 6375. Underfull \hbox (badness 7221) in paragraph at lines 6372--6376 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/it/10 +ver-ilog1995ext+\T1/qtm/m/n/10 , \T1/txtt/m/it/ 10 +ver- LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 72 undefined on input line 6380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 72 un defined on input line 6380. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert-case' on page 73 undefined on input line 6416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 73 undefi ned on input line 6493. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 73 undefin ed on input line 6494. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 73 undef ined on input line 6494. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 73 unde fined on input line 6494. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 73 undefined on input line 6497. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 73 undefin ed on input line 6510. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 73 undef ined on input line 6515. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 73 undefined on input line 6515. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dpi-hdr-only' on page 7 3 undefined on input line 6516. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 73 u ndefined on input line 6516. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 73 un defined on input line 6516. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 73 undef ined on input line 6546. [73] LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKEFLAGS' on page 74 undefined on input line 6553. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 74 undefined on input line 6557. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 74 undefine d on input line 6569. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 74 undefin ed on input line 6601. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 74 undefined on input line 6607. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 74 undefin ed on input line 6633. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 74 undefined on input line 6694. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 74 undefined on input line 6695. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 74 undefined on input line 6695. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 74 undefined on input line 6707. [74] LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 75 undefine d on input line 6733. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 75 undefined on input line 6746. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 75 undefined on input line 6759. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-define-var' on page 75 undefined on input line 6772. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 75 unde fined on input line 6796. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 75 undefined on input line 6800. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 75 undefined on input line 6805. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 75 undefined on input line 6810. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 75 undef ined on input line 6830. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 75 undef ined on input line 6843. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 75 undef ined on input line 6849. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 75 undef ined on input line 6852. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 75 unde fined on input line 6881. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 75 undef ined on input line 6882. [75] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-decoration' on page 76 undefined on input line 6921. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 76 u ndefined on input line 6933. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 76 undefined on input line 6973. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 76 undefined on input line 6976. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 76 undef ined on input line 7007. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 76 undef ined on input line 7008. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 76 undefi ned on input line 7023. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 76 undefined on input line 7035. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 76 u ndefined on input line 7068. [76] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 77 u ndefined on input line 7083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 77 undef ined on input line 7084. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 77 u ndefined on input line 7085. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 77 u ndefined on input line 7113. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 77 undefined on input line 7114. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-std' on page 77 unde fined on input line 7226. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 7 7 undefined on input line 7229. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 77 undefined on input line 7229. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 77 undefined on input line 7230. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 77 undefined on input line 7247. [77] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-f' on page 78 undefined on input line 7289. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 78 undefined on input line 7290. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 78 undefined on input line 7304. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-f' on page 78 undefined on input line 7304. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 78 un defined on input line 7343. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-pre-inline' on page 78 undefined on input line 7421. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-post-inline' on page 78 undefined on input line 7421. [78] [79] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 80 undef ined on input line 7728. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 80 undef ined on input line 7740. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 80 undef ined on input line 7742. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 80 undefin ed on input line 7744. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 80 undefined on input line 7756. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 80 undefined on input line 7773. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 80 undefined on input line 7787. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 80 undefined on input line 7816. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 80 undefined on input line 7817. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 80 undefined on input line 7829. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 80 undefined on input line 7854. [80] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 81 undef ined on input line 7900. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 81 undefined on input line 7901. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-verilate-jobs' on page 81 undefined on input line 7902. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 81 undefined on input line 7936. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 81 und efined on input line 7957. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 81 undefined on input line 7979. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 81 undefined on input line 7979. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 81 undefined on input line 7982. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale-override' on page 81 undefined on input line 7983. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 81 unde fined on input line 7988. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 81 undefined on input line 7991. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 81 undefi ned on input line 8022. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 81 un defined on input line 8027. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 81 undefined on input line 8027. [81] LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKE' on page 82 unde fined on input line 8045. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 82 undef ined on input line 8048. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 82 undefi ned on input line 8049. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 82 undef ined on input line 8050. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 82 undef ined on input line 8062. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 82 unde fined on input line 8090. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 82 undef ined on input line 8091. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 82 undefine d on input line 8094. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 82 unde fined on input line 8097. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 82 undefine d on input line 8164. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 82 undefine d on input line 8164. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 82 unde fined on input line 8177. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 82 undefin ed on input line 8189. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 82 unde fined on input line 8227. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 82 undefine d on input line 8228. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 82 undefined on input line 8230. [82] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 83 undefin ed on input line 8258. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 83 unde fined on input line 8259. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8296. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8318. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8321. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8321. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8325. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 83 undefined on input line 8338. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 3 undefined on input line 8342. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 83 undefined on input line 8355. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 8 3 undefined on input line 8373. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 83 undefined on input line 8388. [83] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 8 4 undefined on input line 8403. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 84 und efined on input line 8418. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 84 und efined on input line 8432. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 84 und efined on input line 8445. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 84 undefined on input line 8473. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 84 undefined on input line 8486. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 84 undefin ed on input line 8499. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 84 unde fined on input line 8512. LaTeX Warning: Hyper reference `simulating:profiling' on page 84 undefined on i nput line 8526. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 84 undefined on input line 8529. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 84 unde fined on input line 8529. LaTeX Warning: Hyper reference `simulating:profiling' on page 84 undefined on i nput line 8547. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 84 undefined on input line 8550. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 84 unde fined on input line 8550. LaTeX Warning: Hyper reference `simulating:execution-profiling' on page 84 unde fined on input line 8563. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8576. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 84 undefined on input line 8577. [84] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 85 undefined on input line 8605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 85 undefined on input line 8631. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 85 undefined on input line 8636. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 85 undefined on input line 8641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 85 undefined on input line 8657. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 85 undefined on input line 8658. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 85 undefined on input line 8659. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 85 undefined on input line 8659. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 85 unde fined on input line 8668. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 85 undefined on input line 8685. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 85 undefined on input line 8687. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 85 undefined on input line 8689. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 85 undefin ed on input line 8705. Underfull \hbox (badness 7576) in paragraph at lines 8699--8709 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 85 undefined on input line 8720. [85] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 86 unde fined on input line 8749. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-quiet-exit' on page 86 undefined on input line 8761. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-quiet-stats' on page 86 undefined on input line 8761. LaTeX Warning: Hyper reference `verilating:verilation-summary-report' on page 8 6 undefined on input line 8786. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 86 un defined on input line 8829. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 86 u ndefined on input line 8837. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-decorations' on page 86 undefined on input line 8892. LaTeX Font Warning: Font shape `T1/txtt/b/it' undefined (Font) using `T1/txtt/b/n' instead on input line 8892. [86] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debug' on page 87 undefined on input line 8915. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 87 unde fined on input line 8920. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 87 und efined on input line 8920. LaTeX Warning: Hyper reference `simulating:save-restore' on page 87 undefined o n input line 8936. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 87 undefine d on input line 8948. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 87 undefine d on input line 8971. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 87 undefine d on input line 8972. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 87 undef ined on input line 9000. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 87 undef ined on input line 9001. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNPACKED' on page 87 und efined on input line 9038. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 87 un defined on input line 9053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2023ext-ext' o n page 87 undefined on input line 9066. LaTeX Warning: Hyper reference `verilating:multithreading' on page 87 undefined on input line 9081. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 87 undef ined on input line 9082. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 87 u ndefined on input line 9082. [87] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 88 und efined on input line 9127. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 88 undefined on input line 9151. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 88 und efined on input line 9163. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 88 unde fined on input line 9255. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 88 und efined on input line 9257. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 88 u ndefined on input line 9270. Underfull \hbox (badness 7981) in paragraph at lines 9272--9280 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [88] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 89 und efined on input line 9286. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 89 und efined on input line 9287. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 89 undef ined on input line 9299. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 89 undefined on input line 9300. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 89 undefined on input line 9301. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 89 undefined on input line 9302. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 89 undefined on input line 9322. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 89 undef ined on input line 9338. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 89 undefined on input line 9338. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 89 u ndefined on input line 9407. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 89 undefined on input line 9408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 89 undef ined on input line 9412. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 89 und efined on input line 9412. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main-top-name' on page 89 undefined on input line 9429. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 89 undefined on input line 9446. [89] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 90 undefined on input line 9484. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-unroll_disable' on page 90 undefined on input line 9485. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-unroll_full' on page 90 undefined on input line 9486. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 90 undefined on input line 9499. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-unroll_disable' on page 90 undefined on input line 9500. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-unroll_full' on page 90 undefined on input line 9501. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSED' on page 90 undef ined on input line 9514. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 90 unde fined on input line 9529. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_VALGRIND' o n page 90 undefined on input line 9556. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 90 undef ined on input line 9568. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 90 undefined on input line 9588. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o n page 90 undefined on input line 9600. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o n page 90 undefined on input line 9612. [90] LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 91 u ndefined on input line 9655. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 91 undefined on input line 9672. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 91 undefined on input line 9673. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-style' on page 91 u ndefined on input line 9769. Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL Underfull \hbox (badness 10000) in paragraph at lines 9784--9793 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 91 undefined on input line 9806. [91] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNIN' on page 92 und efined on input line 9811. Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASEINCOMPLETE []Wwarn[]CASEOVERLAP []Wwarn[]CASEWITH X []Wwarn[]CASEX Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASTCONST []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]IMPLICITSTATIC []Wwarn[]LATCH []Wwarn[]MISINDENT []Ww arn[]NEWERSTD Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn[]STATICVAR []Wwar n[]UNSIGNED Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn[]ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNE T Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]UNDRIVEN []Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 92 undefined on input line 9915. [92] LaTeX Warning: Hyper reference `languages:unknown-states' on page 93 undefined on input line 9969. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 93 undefined on input line 9983. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 93 undefined on input line 10019. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page 93 undefined on input line 10025. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree-json' on page 93 undefined on input line 10051. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-json-only' on page 93 u ndefined on input line 10064. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-json-only' on page 93 u ndefined on input line 10077. [93] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-json-only' on page 94 u ndefined on input line 10122. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 94 un defined on input line 10136. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-json-only' on page 94 u ndefined on input line 10140. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 94 undefined on input line 10154. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 94 undefined on input line 10155. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 94 undef ined on input line 10155. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 94 undefined on input line 10156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 94 undefined on input line 10156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 94 undef ined on input line 10157. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 94 undefi ned on input line 10161. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilator_config' o n page 94 undefined on input line 10176. [94] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 95 undefined on input line 10253. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 95 undefin ed on input line 10294. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 95 undefined on input line 10297. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 95 undefined on input line 10323. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 95 undefined on input line 10337. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-forceable' on pa ge 95 undefined on input line 10340. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 9 5 undefined on input line 10379. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 95 undefined on input line 10380. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 95 undefined on input line 10393. [95] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 96 undefined on input line 10425. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 96 undefined on input line 10438. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 96 undefined on input line 10467. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 96 un defined on input line 10507. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 96 undefined on input line 10558. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on page 96 undefined on input line 10559. LaTeX Warning: Hyper reference `connecting:vpi-example' on page 96 undefined on input line 10560. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 96 undefined on input line 10574. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 9 6 undefined on input line 10596. [96] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 97 undefined on input line 10625. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 97 un defined on input line 10648. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 97 undefined on input line 10651. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 97 u ndefined on input line 10675. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_on' on pa ge 97 undefined on input line 10679. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 97 undefined on input line 10680. [97] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 98 undefined on input line 10775. LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 98 undefi ned on input line 10779. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate-points' on page 98 undefined on input line 10852. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate-points' on page 98 undefined on input line 10856. [98] LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate' on page 99 undefined on input line 10885. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate' on page 99 undefined on input line 10905. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate' on page 99 undefined on input line 10928. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-write' on page 99 undefined on input line 10971. [99] LaTeX Warning: Hyper reference `simulating:profiling' on page 100 undefined on input line 11034. [100] LaTeX Warning: Hyper reference `simulating:profiling' on page 101 undefined on input line 11170. [101] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 102 u ndefined on input line 11244. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o n page 102 undefined on input line 11258. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-runtime-debug' on page 102 undefined on input line 11262. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 102 undefined on input line 11313. [102] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 103 undefined on input line 11327. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 103 undefined on input line 11344. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 103 undefined on input line 11345. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 103 undefined on input line 11362. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 103 undefined on input line 11375. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-windo w-value' on page 103 undefined on input line 11388. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 103 u ndefined on input line 11400. LaTeX Warning: Hyper reference `simulating:simulation-summary-report' on page 1 03 undefined on input line 11414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 103 undefined on input line 11427. LaTeX Warning: Hyper reference `languages:unknown-states' on page 103 undefined on input line 11429. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 103 undefined on input line 11441. [103] Chapter 13. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 104 undefined on input line 11529. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-lint_off' on page 1 04 undefined on input line 11530. [104] LaTeX Warning: Hyper reference `languages:language-limitations' on page 105 und efined on input line 11588. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 105 undefined on input line 11664. [105] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 1 06 undefined on input line 11782. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 1 06 undefined on input line 11782. [106] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 107 und efined on input line 11856. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 107 undefi ned on input line 11970. [107] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 108 u ndefined on input line 12071. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 108 u ndefined on input line 12072. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 108 u ndefined on input line 12073. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 108 undefine d on input line 12092. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-v' on page 108 undefine d on input line 12094. [108] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 109 u ndefined on input line 12215. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 10 9 undefined on input line 12246. [109] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 110 undefined on input line 12271. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 110 u ndefined on input line 12272. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 110 und efined on input line 12273. [110] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 111 undefined on input line 12503. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 111 u ndefined on input line 12517. [111] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 112 undef ined on input line 12590. [112] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 113 undefined on input line 12686. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 113 undefined on input line 12731. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 113 und efined on input line 12750. [113] [114] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 115 un defined on input line 12917. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-LITENDIAN' on page 115 u ndefined on input line 12917. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 115 un defined on input line 12918. [115] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-v' on page 116 undefine d on input line 13082. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 116 undefi ned on input line 13088. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 116 und efined on input line 13116. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 116 undefined on input line 13116. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 116 u ndefined on input line 13129. [116] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 117 u ndefined on input line 13136. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 117 und efined on input line 13170. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 117 undefined on input line 13172. [117] [118] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 119 undefined on input line 13413. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-profile_data' on pa ge 119 undefined on input line 13414. [119] [120] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 121 undefined on input line 13700. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 121 undefined on input line 13789. [121] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 122 und efined on input line 13810. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page 122 undefined on input line 13928. [122] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 123 undefined on input line 13952. [123] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 124 undefined on input line 14083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 124 undefined on input line 14085. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 124 undefined on input line 14086. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 124 undefined on input line 14091. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 124 undefined on input line 14100. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 124 un defined on input line 14123. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on page 124 undefined on input line 14127. [124] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 125 undefined on input line 14196. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 125 undefined on input line 14198. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDGENVAR' on page 12 5 undefined on input line 14211. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDPARAM' on page 125 undefined on input line 14211. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDSIGNAL' on page 12 5 undefined on input line 14212. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 125 undefined on input line 14254. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 125 undefined on input line 14277. [125] [126] [127] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 128 und efined on input line 14636. [128] Chapter 14. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 129 undef ined on input line 14727. Overfull \hbox (26.03229pt too wide) in paragraph at lines 14924--14924 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [129] [130] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 131 undefined on input line 15190. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 131 undefined on input line 15190. [131] Chapter 15. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 132 unde fined on input line 15246. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 132 undefined on input line 15262. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 132 undefined on input line 15291. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 132 undefined on input line 15292. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 132 undefined on input line 15307. [132] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 133 undefi ned on input line 15396. LaTeX Warning: Hyper reference `install:installation' on page 133 undefined on input line 15444. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-valgrind' on page 133 u ndefined on input line 15456. [133] Chapter 16. [134] Chapter 17. [135] Chapter 18. [136] [137] [138] Chapter 19. [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] Underfull \hbox (badness 10000) in paragraph at lines 21934--21937 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Frédéric Re-quin] Set [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223] [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] Chapter 20. [237] (./verilator.aux) kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 tcrm1000 mkdir: cannot create directory ‘././sbuild-nonexistent’: Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input tcrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2023/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymb.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txpseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [27] [29]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txgen.mf Ok [100] [109] [98] [99] [108]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymbol.mf Ok [13] [18] [21] [22] [23] [24] [25] [26] [28] [31] [32] [36] [39] [44] [45] [46] [42] [47] [60] [61] [62] [77] [79] [87] [110] [91] [93] [94] [95] [96] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [171] [172] [173] [174] [175] [177] [176] [180] [181] [182] [183] [184] [187] [191] [214] [246]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txromod.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrsuper.mf Ok [185] [178] [179] [170] [186]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrfract.mf Ok [188] [189] [190]) ) ) ) (some charht values had to be adjusted by as much as 0.06943pt) Font metrics written on tcrm1000.tfm. Output written on tcrm1000.600gf (128 characters, 23548 bytes). Transcript written on tcrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/tcrm1000.600pk: successfully generated. LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information) kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 ecrm1000 mkdir: cannot create directory ‘././sbuild-nonexistent’: Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input ecrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2023/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exroman.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exruwest.mf Ok [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlwest.mf Ok [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250] [251] [252] [253] [254] [255]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrueast.mf Ok [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrleast.mf Ok [158] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbraces.mf Ok [94] [126] [23] [40] [41] [60] [124] [62] [91] [93] [92] [123] [125] [95] [127] [32]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expunct.mf Ok [14] [15] [19] [20] [13] [18] [33] [39] [42] [43] [44] [46] [47] [58] [59] [61] [96] [189] [17] [45] [16] [21] [22]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exsign.mf Ok [24] [34] [35] [36] [37] [64] [191] [159]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlig.mf Ok [25] [26] [28] [27] [29] [30] [31]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exromp.mf Ok [38] [63] [190]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrulett.mf Ok [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrllett.mf Ok [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrdigit.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrligtb.mf Ok) ) ) ) (some charht values had to be adjusted by as much as 0.06586pt) Font metrics written on ecrm1000.tfm. Output written on ecrm1000.600gf (256 characters, 51184 bytes). Transcript written on ecrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/ecrm1000.600pk: successfully generated. Output written on verilator.pdf (239 pages, 793642 bytes). Transcript written on verilator.log. Latexmk: Missing input file 'verilator.toc' (or dependence on it) from following: No file verilator.toc. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux verilator.out verilator.toc ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 81. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-e c.enc} <./verilator_logo.png>] (./verilator.toc [1] [2]) [3] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 123. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 211. [2{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc}] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 858. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1292--1295 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] [23] Chapter 6. [24] Overfull \hbox (36.06451pt too wide) in paragraph at lines 2124--2128 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [25] [26] [27] [28] [29] [30] [31] Chapter 7. [32] [33] Underfull \hbox (badness 10000) in paragraph at lines 2867--2872 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [34] Underfull \hbox (badness 10000) in paragraph at lines 3015--3020 []\T1/qtm/m/n/10 In the user wrap-per code, typ-i-cally at the end once a test passes, call [35] Underfull \hbox (badness 6204) in paragraph at lines 3112--3115 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [36] [37 <./fig_gantt_min.png>] [38] [39] [40] Chapter 8. [41] [42] [43] Chapter 9. [44] [45] Overfull \vbox (0.53639pt too high) detected at line 3851 [46] [47] [48] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [49] [50] [51] Chapter 10. [52] [53] [54] [55] [56] [57] [58] [59] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [60] [61] [62] [63] [64] [65] [66] [67] Chapter 12. [68] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [69] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [70] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [71] Underfull \hbox (badness 7221) in paragraph at lines 6372--6376 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] Underfull \hbox (badness 7576) in paragraph at lines 8699--8709 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [85] LaTeX Font Warning: Font shape `T1/txtt/b/it' undefined (Font) using `T1/txtt/b/n' instead on input line 8892. [86] [87] Underfull \hbox (badness 7981) in paragraph at lines 9272--9280 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [88] [89] [90] Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL Underfull \hbox (badness 10000) in paragraph at lines 9784--9793 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM [91] Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASEINCOMPLETE []Wwarn[]CASEOVERLAP []Wwarn[]CASEWITH X []Wwarn[]CASEX Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASTCONST []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]IMPLICITSTATIC []Wwarn[]LATCH []Wwarn[]MISINDENT []Ww arn[]NEWERSTD Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn[]STATICVAR []Wwar n[]UNSIGNED Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn[]ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNE T Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]UNDRIVEN []Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] Chapter 13. [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] Chapter 14. Overfull \hbox (26.03229pt too wide) in paragraph at lines 14924--14924 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [129] [130] [131] Chapter 15. [132] [133] Chapter 16. [134] Chapter 17. [135] Chapter 18. [136] [137] [138] Chapter 19. [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] Underfull \hbox (badness 10000) in paragraph at lines 21934--21937 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Frédéric Re-quin] Set [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223] [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] Chapter 20. [237] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information) Output written on verilator.pdf (241 pages, 853414 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 81. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-e c.enc} <./verilator_logo.png>] (./verilator.toc [1] [2]) [3] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 123. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 199. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 211. [2{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc}] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 858. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1292--1295 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] [23] Chapter 6. [24] Overfull \hbox (36.06451pt too wide) in paragraph at lines 2124--2128 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [25] [26] [27] [28] [29] [30] [31] Chapter 7. [32] [33] Underfull \hbox (badness 10000) in paragraph at lines 2867--2872 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [34] Underfull \hbox (badness 10000) in paragraph at lines 3015--3020 []\T1/qtm/m/n/10 In the user wrap-per code, typ-i-cally at the end once a test passes, call [35] Underfull \hbox (badness 6204) in paragraph at lines 3112--3115 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [36] [37 <./fig_gantt_min.png>] [38] [39] [40] Chapter 8. [41] [42] [43] Chapter 9. [44] [45] Overfull \vbox (0.53639pt too high) detected at line 3851 [46] [47] [48] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [49] [50] [51] Chapter 10. [52] [53] [54] [55] [56] [57] [58] [59] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [60] [61] [62] [63] [64] [65] [66] [67] Chapter 12. [68] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [69] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [70] Underfull \vbox (badness 10000) detected at line 6244 Underfull \vbox (badness 10000) detected at line 6244 [71] Underfull \hbox (badness 7221) in paragraph at lines 6372--6376 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] Underfull \hbox (badness 7576) in paragraph at lines 8699--8709 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [85] LaTeX Font Warning: Font shape `T1/txtt/b/it' undefined (Font) using `T1/txtt/b/n' instead on input line 8892. [86] [87] Underfull \hbox (badness 7981) in paragraph at lines 9272--9280 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [88] [89] [90] Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9759--9770 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL Underfull \hbox (badness 10000) in paragraph at lines 9784--9793 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM [91] Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASEINCOMPLETE []Wwarn[]CASEOVERLAP []Wwarn[]CASEWITH X []Wwarn[]CASEX Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]CASTCONST []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]IMPLICITSTATIC []Wwarn[]LATCH []Wwarn[]MISINDENT []Ww arn[]NEWERSTD Underfull \hbox (badness 10000) in paragraph at lines 9833--9845 []\T1/txtt/m/it/10 Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn[]STATICVAR []Wwar n[]UNSIGNED Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn[]ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNE T Underfull \hbox (badness 10000) in paragraph at lines 9854--9862 []\T1/txtt/m/it/10 Wwarn[]UNDRIVEN []Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] Chapter 13. [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] Chapter 14. Overfull \hbox (26.03229pt too wide) in paragraph at lines 14924--14924 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [129] [130] [131] Chapter 15. [132] [133] Chapter 16. [134] Chapter 17. [135] Chapter 18. [136] [137] [138] Chapter 19. [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] Underfull \hbox (badness 10000) in paragraph at lines 21934--21937 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Frédéric Re-quin] Set [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223] [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] Chapter 20. [237] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information) Output written on verilator.pdf (241 pages, 853414 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: All targets (verilator.pdf) are up-to-date make[3]: Leaving directory '/<>/docs/_build/latex' cp _build/latex/verilator.pdf .. make[2]: Leaving directory '/<>/docs' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_test make[1]: Entering directory '/<>' dh_auto_test make -j4 test "TESTSUITEFLAGS=-j4 --verbose" VERBOSE=1 make[2]: Entering directory '/<>' ------------------------------------------------------------ making verilator in src make -C src make[3]: Entering directory '/<>/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make[4]: Entering directory '/<>/src/obj_dbg' make[4]: Nothing to be done for 'serial_vlcov'. make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_dbg' make[4]: Entering directory '/<>/src/obj_opt' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_opt' make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_dbg' make[3]: Leaving directory '/<>/src' test_regress/t/t_a1_first_cc.pl for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/json_py examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[3]: Entering directory '/<>/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /<>/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[4]: Entering directory '/<>/examples/make_hello_c' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Os -c -o sim_main.o ../sim_main.cpp ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl /<>/test_regress/../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) Verilator 5.024 2024-04-05 rev (Debian 5.024-1) Copyright 2003-2024 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 1 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_BIN = VERILATOR_ROOT = /<>/test_regress/.. Supported features (compiled-in or forced by environment): COROUTINES = 1 SYSTEMC = 1 perl /<>/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.021 MB sources in 2 modules, into 0.055 MB in 17 C++ files needing 0.000 MB - Verilator: Walltime 0.020 s (elab=0.001, cvt=0.006, bld=0.000); cpu 0.000 s on 1 threads; alloced 12.785 MB make -C obj_vlt/t_a1_first_cc -f /<>/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/<>/test_regress/obj_vlt/t_a1_first_cc' make[3]: Entering directory '/<>/test_regress' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated.o /<>/include/verilated.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated.o /<>/test_regress/../include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated_threads.o /<>/include/verilated_threads.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_vcd_c.o /<>/test_regress/../include/verilated_vcd_c.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_c/obj_dir' - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.012 MB sources in 2 modules, into 0.017 MB in 6 C++ files needing 0.000 MB - Verilator: Walltime 12.066 s (elab=0.000, cvt=0.001, bld=12.063); cpu 0.000 s on 0 threads; alloced 9.473 MB -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_c' make[3]: Entering directory '/<>/examples/make_hello_sc' -- Verilator hello-world simple example -- VERILATE & COMPILE ------ /<>/bin/verilator -sc --exe --build -j top.v sc_main.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_threads.o /<>/test_regress/../include/verilated_threads.cpp make[4]: Entering directory '/<>/examples/make_hello_sc' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Os -c -o sc_main.o ../sc_main.cpp /usr/bin/python3 /<>/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hbad1107f__0.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp Vt_a1_first_cc__TraceDecls__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated.o /<>/include/verilated.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a -pthread -lpthread -latomic -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp make[3]: Leaving directory '/<>/test_regress/obj_vlt/t_a1_first_cc' driver: Leaving directory '/<>/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:17 ==SUMMARY: Passed 1 Failed 0 Time 0:17 ====================================================================== ==TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:17 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:01 ====================================================================== vlt/t_a2_first_sc: ================================================== perl /<>/test_regress/../bin/verilator --prefix Vt_a2_first_sc ../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a2_first_sc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree -sc --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a2_first_sc +define+TEST_DUMPFILE=obj_vlt/t_a2_first_sc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a2_first_sc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) Starting Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.021 MB sources in 2 modules, into 0.069 MB in 19 C++ files needing 0.000 MB - Verilator: Walltime 0.031 s (elab=0.002, cvt=0.012, bld=0.000); cpu 0.000 s on 1 threads; alloced 12.855 MB make -C obj_vlt/t_a2_first_sc -f /<>/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a2_first_sc TEST_OBJ_DIR=obj_vlt/t_a2_first_sc CPPFLAGS_DRIVER=-DT_A2_FIRST_SC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_gcc.log driver: Entering directory '/<>/test_regress/obj_vlt/t_a2_first_sc' make[3]: Entering directory '/<>/test_regress' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -DVL_LOCK_SPINS=10000 -O0 -c -o Vt_a2_first_sc__main.o ../../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -DVL_LOCK_SPINS=10000 -c -o verilated.o /<>/test_regress/../include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sc_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -lsystemc -o Vtop g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -DVL_LOCK_SPINS=10000 -c -o verilated_vcd_c.o /<>/test_regress/../include/verilated_vcd_c.cpp rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_sc/obj_dir' - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.012 MB sources in 2 modules, into 0.016 MB in 6 C++ files needing 0.000 MB - Verilator: Walltime 15.220 s (elab=0.000, cvt=0.001, bld=15.217); cpu 0.000 s on 0 threads; alloced 9.469 MB -- RUN --------------------- obj_dir/Vtop SystemC 2.3.4-Accellera --- Apr 22 2024 14:54:19 Copyright (c) 1996-2022 by all Contributors, ALL RIGHTS RESERVED Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_sc. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_sc' make[3]: Entering directory '/<>/examples/cmake_hello_c' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_hello_c' make[3]: Entering directory '/<>/examples/cmake_hello_sc' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_hello_sc' make[3]: Entering directory '/<>/examples/cmake_protect_lib' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_protect_lib' make[3]: Entering directory '/<>/examples/cmake_tracing_c' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_tracing_c' make[3]: Entering directory '/<>/examples/cmake_tracing_sc' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_tracing_sc' make[3]: Entering directory '/<>/examples/json_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.013 MB sources in 3 modules, into 0.000 MB in 0 C++ files needing 0.000 MB - Verilator: Walltime 0.003 s (elab=0.000, cvt=0.000, bld=0.000); cpu 0.000 s on 1 threads; alloced 9.117 MB NOTE: vl_file_copy is only an example starting point for writing your own tool. - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.013 MB sources in 3 modules, into 0.000 MB in 0 C++ files needing 0.000 MB - Verilator: Walltime 0.002 s (elab=0.000, cvt=0.000, bld=0.000); cpu 0.000 s on 1 threads; alloced 9.113 MB NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[3]: Leaving directory '/<>/examples/json_py' make[3]: Entering directory '/<>/examples/make_hello_binary' -- Verilator hello-world simple binary example -- VERILATE & BUILD -------- /<>/bin/verilator --binary -j 0 top.v make[4]: Entering directory '/<>/examples/make_hello_binary' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -DVL_LOCK_SPINS=10000 -c -o verilated_threads.o /<>/test_regress/../include/verilated_threads.cpp /usr/bin/python3 /<>/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a2_first_sc.cpp Vt_a2_first_sc___024root__DepSet_h29788550__0.cpp Vt_a2_first_sc___024root__DepSet_ha2dbc04d__0.cpp Vt_a2_first_sc__Trace__0.cpp Vt_a2_first_sc___024root__Slow.cpp Vt_a2_first_sc___024root__DepSet_h29788550__0__Slow.cpp Vt_a2_first_sc___024root__DepSet_ha2dbc04d__0__Slow.cpp Vt_a2_first_sc__Syms.cpp Vt_a2_first_sc__Trace__0__Slow.cpp Vt_a2_first_sc__TraceDecls__0__Slow.cpp > Vt_a2_first_sc__ALL.cpp g++ -O0 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -DVL_LOCK_SPINS=10000 -c -o Vt_a2_first_sc__ALL.o Vt_a2_first_sc__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vt_a2_first_sc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a2_first_sc__ALL.a Vt_a2_first_sc__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now Vt_a2_first_sc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a2_first_sc__ALL.a -pthread -lpthread -latomic -lsystemc -o Vt_a2_first_sc echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vt_a2_first_sc__ALL.verilator_deplist.tmp make[3]: Leaving directory '/<>/test_regress/obj_vlt/t_a2_first_sc' driver: Leaving directory '/<>/test_regress/obj_vlt/t_a2_first_sc' obj_vlt/t_a2_first_sc/Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a2_first_sc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:22 ==SUMMARY: Passed 1 Failed 0 Time 0:22 ====================================================================== ==TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:22 rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_binary/obj_dir' - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.013 MB sources in 2 modules, into 0.018 MB in 7 C++ files needing 0.000 MB - Verilator: Walltime 11.172 s (elab=0.000, cvt=0.001, bld=11.168); cpu 0.000 s on 4 threads; alloced 15.773 MB -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish - S i m u l a t i o n R e p o r t: Verilator 5.024 2024-04-05 - Verilator: $finish at 1ps; walltime 0.000 s; speed 0.000 s/s - Verilator: cpu 0.000 s on 1 threads; alloced 24 MB -- DONE -------------------- Note: Once this example is understood, see examples/make_hello_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_binary' make[3]: Entering directory '/<>/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /<>/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.013 MB sources in 2 modules, into 0.025 MB in 7 C++ files needing 0.000 MB - Verilator: Walltime 0.004 s (elab=0.000, cvt=0.002, bld=0.000); cpu 0.000 s on 1 threads; alloced 9.664 MB -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[4]: Entering directory '/<>/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSztXm__DepSet_hff74f126__0.cpp Vsecret_impl_PSztXm__DepSet_hec5175a6__0.cpp Vsecret_impl_PSztXm__Slow.cpp Vsecret_impl_PSztXm__DepSet_hff74f126__0__Slow.cpp Vsecret_impl_PSztXm__DepSet_hec5175a6__0__Slow.cpp Vsecret_impl_PS0FaQ.cpp > Vsecret_impl__ALL.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_secret.o verilated_secret.cpp echo "" > libverilated_secret.verilator_deplist.tmp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o rm libverilated_secret.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /<>/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.022 MB sources in 3 modules, into 0.049 MB in 11 C++ files needing 0.000 MB - Verilator: Walltime 0.007 s (elab=0.000, cvt=0.003, bld=0.000); cpu 0.000 s on 1 threads; alloced 9.676 MB -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[4]: Entering directory '/<>/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_dpi.o /<>/include/verilated_dpi.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=2756837218 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_protect_lib' make[3]: Entering directory '/<>/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /<>/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.014 MB sources in 3 modules, into 0.316 MB in 10 C++ files needing 0.000 MB - Verilator: Walltime 0.036 s (elab=0.000, cvt=0.028, bld=0.000); cpu 0.000 s on 1 threads; alloced 12.938 MB -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[4]: Entering directory '/<>/examples/make_tracing_c' make[4]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /<>/include/verilated_cov.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 - S i m u l a t i o n R e p o r t: Verilator 5.024 2024-04-05 - Verilator: $finish at 17ps; walltime 0.001 s; speed 0.000 s/s - Verilator: cpu 0.000 s on 1 threads; alloced 25 MB -- COVERAGE ---------------- /<>/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/28) 7.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_tracing_c' make[3]: Entering directory '/<>/examples/make_tracing_sc' -- Verilator tracing example -- VERILATE ---------------- /<>/bin/verilator -sc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sc_main.cpp - V e r i l a t i o n R e p o r t: Verilator 5.024 2024-04-05 rev (Debian 5.024-1) - Verilator: Built from 0.014 MB sources in 3 modules, into 0.369 MB in 10 C++ files needing 0.000 MB - Verilator: Walltime 0.040 s (elab=0.000, cvt=0.031, bld=0.000); cpu 0.000 s on 1 threads; alloced 13.312 MB -- COMPILE ----------------- make -j -C obj_dir -f ../Makefile_obj make[4]: Entering directory '/<>/examples/make_tracing_sc' make[4]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -Os -fstrict-aliasing -c -o sc_main.o ../sc_main.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_cov.o /<>/include/verilated_cov.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-shadow -Wno-sign-compare -Wno-tautological-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sc_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -lsystemc -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_tracing_sc/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace SystemC 2.3.4-Accellera --- Apr 22 2024 14:54:19 Copyright (c) 1996-2022 by all Contributors, ALL RIGHTS RESERVED [0] Model running... Enabling waves into logs/vlt_dump.vcd... [43000] fastclk is 5 times faster than clk *-* All Finished *-* - sub.v:46: Verilog $finish -- COVERAGE ---------------- /<>/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (5/34) 14.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_tracing_sc' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp dh_prep dh_auto_install --destdir=debian/verilator/ make -j4 install DESTDIR=/<>/debian/verilator AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/<>' /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/bin /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/man/man1 ------------------------------------------------------------ /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/include/gtkwave making verilator in src make -C src mkdir /<>/debian/verilator/usr mkdir /<>/debian/verilator/usr make[2]: Entering directory '/<>/src' mkdir /<>/debian/verilator/usr/share mkdir: cannot create directory ‘/<>/debian/verilator/usr’: File exists mkdir /<>/debian/verilator/usr/share mkdir /<>/debian/verilator/usr/share/man mkdir /<>/debian/verilator/usr/share/verilator mkdir: cannot create directory ‘/<>/debian/verilator/usr/share’: File exists mkdir /<>/debian/verilator/usr/share/man/man1 mkdir /<>/debian/verilator/usr/share/verilator mkdir /<>/debian/verilator/usr/share/verilator/bin make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/man/man1/$p; \ done mkdir: cannot create directory ‘/<>/debian/verilator/usr/share/verilator’: File exists mkdir /<>/debian/verilator/usr/share/verilator/include make[3]: Entering directory '/<>/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/bin mkdir /<>/debian/verilator/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/include/vltstd mkdir /<>/debian/verilator/usr/bin mkdir /<>/debian/verilator/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov Installed binaries to /<>/debian/verilator/usr/bin/verilator cd . \ ; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done Installed man to /<>/debian/verilator/usr/share/man/man1 Installed examples to /<>/debian/verilator/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org cd ./bin; \ for p in verilator verilator_coverage verilator_gantt verilator_profcfunc ; do \ /usr/bin/install -c $p /<>/debian/verilator/usr/share/verilator/bin/$p; \ done make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Entering directory '/<>/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj cd bin; \ for p in verilator_bin verilator_bin_dbg verilator_coverage_bin_dbg ; do \ /usr/bin/install -c $p /<>/debian/verilator/usr/share/verilator/bin/$p; \ done make[3]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/<>/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_dbg' make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/<>/src/obj_opt' Compile flags: g++ -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -g -O2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -fdebug-prefix-map=/<>=/usr/src/verilator-5.024-1 -Wdate-time -D_FORTIFY_SOURCE=3 -Wdate-time -D_FORTIFY_SOURCE=3 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_opt' make[2]: Leaving directory '/<>/src' /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_binary mkdir /<>/debian/verilator/usr/share/verilator/examples mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_binary /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_c mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_tracing_c mkdir /<>/debian/verilator/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_tracing_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_protect_lib mkdir /<>/debian/verilator/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_c mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_c mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_protect_lib mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/json_py mkdir /<>/debian/verilator/usr/share/verilator/examples/json_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/pkgconfig mkdir /<>/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator.pc /<>/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator-config.cmake /<>/debian/verilator/usr/share/verilator /usr/bin/install -c -m 644 verilator-config-version.cmake /<>/debian/verilator/usr/share/verilator cd ./bin; \ for p in verilator_ccache_report verilator_includer ; do \ /usr/bin/install -c $p /<>/debian/verilator/usr/share/verilator/bin/$p; \ done cp ./bin/redirect ./bin/redirect.tmp perl -p -i -e 'use File::Spec;' \ -e' $path = File::Spec->abs2rel("/<>/debian/verilator/usr/share/verilator/bin", "/<>/debian/verilator/usr/bin");' \ -e 's/RELPATH.*/"$path";/g' -- "./bin/redirect.tmp" cd ./bin; \ for p in verilator verilator_coverage verilator_gantt verilator_profcfunc verilator_bin verilator_bin_dbg verilator_coverage_bin_dbg ; do \ /usr/bin/install -c redirect.tmp /<>/debian/verilator/usr/bin/$p; \ done rm ./bin/redirect.tmp make[1]: Leaving directory '/<>' debian/rules override_dh_install make[1]: Entering directory '/<>' dh_install # For whatever reason upstream is installing the binaries into # /usr/share/verilator/bin and tries to do some magic afterwards. # But this will left the binaries in that folder. We need to work # around. :-( rm -rf debian/verilator/usr/share/verilator/bin make[1]: Leaving directory '/<>' dh_installdocs dh_sphinxdoc dh_installchangelogs dh_installman dh_lintian dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/<>' dh_compress --exclude=examples --exclude=verilator.pdf make[1]: Leaving directory '/<>' debian/rules override_dh_fixperms make[1]: Entering directory '/<>' dh_fixperms # Fixing file permissions for Python based files in /usr/share/verilator/examples. for file in `find /<>/debian/verilator/usr/share/verilator/examples -type f`; do \ FOUND_PYTHON=$(head -n1 $file | grep python); \ if [ "$FOUND_PYTHON" != "" ]; then \ chmod +x $file; \ else \ chmod -x $file; \ fi; \ done make[1]: Leaving directory '/<>' dh_missing dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dpkg-gencontrol: warning: Depends field of package verilator: substitution variable ${shlibs:Depends} used, but is not defined dh_md5sums dh_builddeb INFO: pkgstriptranslations version 154 pkgstriptranslations: processing verilator (in debian/verilator); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/verilator/DEBIAN/control, package verilator, directory debian/verilator pkgstripfiles: Running PNG optimization (using 4 cpus) for package verilator ... xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value ..o.ooo pkgstripfiles: PNG optimization (4/0) for package verilator took 5 s dpkg-deb: building package 'verilator' in '../verilator_5.024-1_amd64.deb'. dpkg-genbuildinfo --build=binary -O../verilator_5.024-1_amd64.buildinfo dpkg-genchanges --build=binary -mLaunchpad Build Daemon -O../verilator_5.024-1_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2024-05-10T23:26:43Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ verilator_5.024-1_amd64.changes: -------------------------------- Format: 1.8 Date: Fri, 10 May 2024 18:56:00 +0200 Source: verilator Binary: verilator Built-For-Profiles: noudeb Architecture: amd64 Version: 5.024-1 Distribution: oracular-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Carsten Schoenert Description: verilator - fast free Verilog simulator Changes: verilator (5.024-1) unstable; urgency=medium . * Team upload. * [6494ad2] New upstream version 5.024 * [cd7e535] d/rules: Add target override_dh_install * [46fe05b] d/control: Use the Recommends only on some architectures * [b2657af] d/verilator.lintian-overrides: Update the overrides * [beddf5b] d/control: Bump Standards-Version to 4.7.0 No further modifications needed. Checksums-Sha1: 177b3554699d42fe14ae4ee9f422a0e74bbd3989 9530 verilator_5.024-1_amd64.buildinfo 79fbb3970dd6cbc26029b9b72655f3fbf4100759 1362002 verilator_5.024-1_amd64.deb Checksums-Sha256: e437e761ada0a17c03072dee958e652dc72f15dc04c4635007b26861d3258420 9530 verilator_5.024-1_amd64.buildinfo 4338ec5dd7ec6f43798d0230165e344e133d242aedaa044f50bc4927d8890992 1362002 verilator_5.024-1_amd64.deb Files: 030c80abe71d88f7f90409b5f1a00304 9530 electronics optional verilator_5.024-1_amd64.buildinfo e70f34cbc233fd3635e4b3243a187749 1362002 electronics optional verilator_5.024-1_amd64.deb /<>/verilator_5.024-1_amd64.changes.new could not be renamed to /<>/verilator_5.024-1_amd64.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: verilator Binary: verilator Architecture: amd64 Version: 5.024-1 Checksums-Md5: e70f34cbc233fd3635e4b3243a187749 1362002 verilator_5.024-1_amd64.deb Checksums-Sha1: 79fbb3970dd6cbc26029b9b72655f3fbf4100759 1362002 verilator_5.024-1_amd64.deb Checksums-Sha256: 4338ec5dd7ec6f43798d0230165e344e133d242aedaa044f50bc4927d8890992 1362002 verilator_5.024-1_amd64.deb Build-Origin: Ubuntu Build-Architecture: amd64 Build-Date: Fri, 10 May 2024 23:26:42 +0000 Build-Path: /<> Build-Tainted-By: merged-usr-via-aliased-dirs usr-local-has-programs Installed-Build-Depends: autoconf (= 2.71-3), automake (= 1:1.16.5-1.3ubuntu1), autopoint (= 0.21-14ubuntu2), autotools-dev (= 20220109.1), base-files (= 13.1ubuntu1), base-passwd (= 3.6.3build1), bash (= 5.2.21-2ubuntu4), binutils (= 2.42-4ubuntu2), binutils-common (= 2.42-4ubuntu2), binutils-x86-64-linux-gnu (= 2.42-4ubuntu2), bison (= 2:3.8.2+dfsg-1build2), bsdextrautils (= 2.39.3-9ubuntu6), bsdutils (= 1:2.39.3-9ubuntu6), build-essential (= 12.10ubuntu1), bzip2 (= 1.0.8-5.1), ca-certificates (= 20240203), coreutils (= 9.4-3ubuntu6), cpp (= 4:13.2.0-7ubuntu1), cpp-13 (= 13.2.0-24ubuntu1), cpp-13-x86-64-linux-gnu (= 13.2.0-24ubuntu1), cpp-x86-64-linux-gnu (= 4:13.2.0-7ubuntu1), dash (= 0.5.12-6ubuntu5), debconf (= 1.5.86ubuntu1), debhelper (= 13.14.1ubuntu5), debianutils (= 5.17build1), debugedit (= 1:5.0-5build2), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.13.1-1), diffutils (= 1:3.10-1build1), docutils-common (= 0.20.1+dfsg-3), dpkg (= 1.22.6ubuntu10), dpkg-dev (= 1.22.6ubuntu10), dwz (= 0.15-1build6), file (= 1:5.45-3build1), findutils (= 4.9.0-5build1), flex (= 2.6.4-8.2build1), fontconfig-config (= 2.15.0-1.1ubuntu2), fonts-dejavu-core (= 2.37-8), fonts-dejavu-mono (= 2.37-8), fonts-font-awesome (= 5.0.10+really4.7.0~dfsg-4.1), fonts-lato (= 2.015-1), fonts-lmodern (= 2.005-1), g++ (= 4:13.2.0-7ubuntu1), g++-13 (= 13.2.0-24ubuntu1), g++-13-x86-64-linux-gnu (= 13.2.0-24ubuntu1), g++-x86-64-linux-gnu (= 4:13.2.0-7ubuntu1), gcc (= 4:13.2.0-7ubuntu1), gcc-13 (= 13.2.0-24ubuntu1), gcc-13-base (= 13.2.0-24ubuntu1), gcc-13-x86-64-linux-gnu (= 13.2.0-24ubuntu1), gcc-14-base (= 14-20240429-1ubuntu1), gcc-x86-64-linux-gnu (= 4:13.2.0-7ubuntu1), gettext (= 0.21-14ubuntu2), gettext-base (= 0.21-14ubuntu2), grep (= 3.11-4build1), groff-base (= 1.23.0-4), gzip (= 1.12-1ubuntu3), help2man (= 1.49.3), hostname (= 3.23+nmu2ubuntu2), init-system-helpers (= 1.66ubuntu1), intltool-debian (= 0.35.0+20060710.6), latexmk (= 1:4.85-1), libacl1 (= 2.3.2-2), libapache-pom-java (= 29-2), libarchive-zip-perl (= 1.68-1), libasan8 (= 14-20240429-1ubuntu1), libatomic1 (= 14-20240429-1ubuntu1), libattr1 (= 1:2.5.2-1build1), libaudit-common (= 1:3.1.2-2.1build1), libaudit1 (= 1:3.1.2-2.1build1), libbinutils (= 2.42-4ubuntu2), libblkid1 (= 2.39.3-9ubuntu6), libbrotli1 (= 1.1.0-2build2), libbsd0 (= 0.12.2-1), libbz2-1.0 (= 1.0.8-5.1), libc-bin (= 2.39-0ubuntu8.1), libc-dev-bin (= 2.39-0ubuntu8.1), libc6 (= 2.39-0ubuntu8.1), libc6-dev (= 2.39-0ubuntu8.1), libcairo2 (= 1.18.0-3build1), libcap-ng0 (= 0.8.5-1), libcap2 (= 1:2.66-5ubuntu2), libcc1-0 (= 14-20240429-1ubuntu1), libcommons-logging-java (= 1.3.0-1ubuntu1), libcommons-parent-java (= 56-1), libcrypt-dev (= 1:4.4.36-4build1), libcrypt1 (= 1:4.4.36-4build1), libctf-nobfd0 (= 2.42-4ubuntu2), libctf0 (= 2.42-4ubuntu2), libdb5.3t64 (= 5.3.28+dfsg2-7), libdebconfclient0 (= 0.271ubuntu3), libdebhelper-perl (= 13.14.1ubuntu5), libdpkg-perl (= 1.22.6ubuntu10), libdw1t64 (= 0.191-1), libelf1t64 (= 0.191-1), libexpat1 (= 2.6.2-1), libffi8 (= 3.4.6-1build1), libfile-stripnondeterminism-perl (= 1.13.1-1), libfl-dev (= 2.6.4-8.2build1), libfl2 (= 2.6.4-8.2build1), libfontbox-java (= 1:1.8.16-5), libfontconfig1 (= 2.15.0-1.1ubuntu2), libfontenc1 (= 1:1.1.8-1build1), libfreetype6 (= 2.13.2+dfsg-1build3), libgcc-13-dev (= 13.2.0-24ubuntu1), libgcc-s1 (= 14-20240429-1ubuntu1), libgdbm-compat4t64 (= 1.23-5.1build1), libgdbm6t64 (= 1.23-5.1build1), libglib2.0-0t64 (= 2.80.1-0ubuntu2), libgmp10 (= 2:6.3.0+dfsg-2ubuntu6), libgomp1 (= 14-20240429-1ubuntu1), libgprofng0 (= 2.42-4ubuntu2), libgraphite2-3 (= 1.3.14-2build1), libharfbuzz0b (= 8.3.0-2build2), libhwasan0 (= 14-20240429-1ubuntu1), libice6 (= 2:1.0.10-1build3), libicu74 (= 74.2-1ubuntu3), libisl23 (= 0.26-3build1), libitm1 (= 14-20240429-1ubuntu1), libjansson4 (= 2.14-2build2), libjs-jquery (= 3.6.1+dfsg+~3.5.14-1), libjs-sphinxdoc (= 7.2.6-6), libjs-underscore (= 1.13.4~dfsg+~1.11.4-3), libjson-perl (= 4.10000-1), libkpathsea6 (= 2023.20230311.66589-9build3), liblocale-gettext-perl (= 1.07-6ubuntu5), liblsan0 (= 14-20240429-1ubuntu1), liblzma5 (= 5.6.1+really5.4.5-1), libmagic-mgc (= 1:5.45-3build1), libmagic1t64 (= 1:5.45-3build1), libmd0 (= 1.1.0-2build1), libmount1 (= 2.39.3-9ubuntu6), libmpc3 (= 1.3.1-1build1), libmpfr6 (= 4.2.1-1build1), libncursesw6 (= 6.4+20240113-1ubuntu2), libpam-modules (= 1.5.3-7ubuntu1), libpam-modules-bin (= 1.5.3-7ubuntu1), libpam-runtime (= 1.5.3-7ubuntu1), libpam0g (= 1.5.3-7ubuntu1), libpaper-utils (= 1.1.29build1), libpaper1 (= 1.1.29build1), libpcre2-8-0 (= 10.42-4ubuntu2), libpdfbox-java (= 1:1.8.16-5), libperl5.38t64 (= 5.38.2-4), libpipeline1 (= 1.5.7-2), libpixman-1-0 (= 0.42.2-1build1), libpng16-16t64 (= 1.6.43-5build1), libpotrace0 (= 1.16-2build1), libptexenc1 (= 2023.20230311.66589-9build3), libpython3-stdlib (= 3.12.3-0ubuntu1), libpython3.12-minimal (= 3.12.3-1), libpython3.12-stdlib (= 3.12.3-1), libquadmath0 (= 14-20240429-1ubuntu1), libreadline8t64 (= 8.2-4build1), libseccomp2 (= 2.5.5-1ubuntu3), libselinux1 (= 3.5-2ubuntu2), libsframe1 (= 2.42-4ubuntu2), libsm6 (= 2:1.2.3-1build3), libsmartcols1 (= 2.39.3-9ubuntu6), libsqlite3-0 (= 3.45.3-1), libssl3t64 (= 3.0.13-0ubuntu3), libstdc++-13-dev (= 13.2.0-24ubuntu1), libstdc++6 (= 14-20240429-1ubuntu1), libsub-override-perl (= 0.10-1), libsynctex2 (= 2023.20230311.66589-9build3), libsystemc (= 2.3.4-3build1), libsystemc-dev (= 2.3.4-3build1), libsystemd0 (= 256~rc1-1~exp2ubuntu1), libteckit0 (= 2.5.12+ds1-1), libtexlua53-5 (= 2023.20230311.66589-9build3), libtinfo6 (= 6.4+20240113-1ubuntu2), libtool (= 2.4.7-7build1), libtsan2 (= 14-20240429-1ubuntu1), libubsan1 (= 14-20240429-1ubuntu1), libuchardet0 (= 0.0.8-1build1), libudev1 (= 256~rc1-1~exp2ubuntu1), libunistring5 (= 1.2-1), libuuid1 (= 2.39.3-9ubuntu6), libx11-6 (= 2:1.8.7-1build1), libx11-data (= 2:1.8.7-1build1), libxau6 (= 1:1.0.9-1build6), libxaw7 (= 2:1.0.14-1build2), libxcb-render0 (= 1.15-1ubuntu2), libxcb-shm0 (= 1.15-1ubuntu2), libxcb1 (= 1.15-1ubuntu2), libxdmcp6 (= 1:1.1.3-0ubuntu6), libxext6 (= 2:1.3.4-1build2), libxi6 (= 2:1.8.1-1build1), libxml2 (= 2.9.14+dfsg-1.3ubuntu3), libxmu6 (= 2:1.1.3-3build2), libxpm4 (= 1:3.5.17-1build2), libxrender1 (= 1:0.9.10-1.1build1), libxt6t64 (= 1:1.2.1-1.2build1), libzstd1 (= 1.5.5+dfsg2-2build1), libzzip-0-13t64 (= 0.13.72+dfsg.1-1.2build1), linux-libc-dev (= 6.8.0-31.31), login (= 1:4.13+dfsg1-4ubuntu3), lto-disabled-list (= 48), m4 (= 1.4.19-4build1), make (= 4.3-4.1build2), man-db (= 2.12.1-1), mawk (= 1.3.4.20240123-1build1), media-types (= 10.1.0), ncurses-base (= 6.4+20240113-1ubuntu2), ncurses-bin (= 6.4+20240113-1ubuntu2), netbase (= 6.4), openssl (= 3.0.13-0ubuntu3), patch (= 2.7.6-7build3), perl (= 5.38.2-4), perl-base (= 5.38.2-4), perl-modules-5.38 (= 5.38.2-4), po-debconf (= 1.0.21+nmu1), preview-latex-style (= 13.2-1), python-babel-localedata (= 2.14.0-1), python3 (= 3.12.3-0ubuntu1), python3-alabaster (= 0.7.12-1), python3-babel (= 2.14.0-1), python3-certifi (= 2023.11.17-1), python3-chardet (= 5.2.0+dfsg-1), python3-docutils (= 0.20.1+dfsg-3), python3-idna (= 3.6-2), python3-imagesize (= 1.4.1-1), python3-jinja2 (= 3.1.3-1), python3-markupsafe (= 2.1.5-1build2), python3-minimal (= 3.12.3-0ubuntu1), python3-packaging (= 24.0-1), python3-pkg-resources (= 68.1.2-2ubuntu1), python3-pygments (= 2.17.2+dfsg-1), python3-requests (= 2.31.0+dfsg-1ubuntu1), python3-roman (= 3.3-3), python3-snowballstemmer (= 2.2.0-4build1), python3-sphinx (= 7.2.6-6), python3-sphinx-rtd-theme (= 2.0.0+dfsg-1), python3-sphinxcontrib.jquery (= 4.1-5), python3-urllib3 (= 2.0.7-1), python3.12 (= 3.12.3-1), python3.12-minimal (= 3.12.3-1), readline-common (= 8.2-4build1), rpcsvc-proto (= 1.4.2-0ubuntu7), sed (= 4.9-2build1), sensible-utils (= 0.0.22), sgml-base (= 1.31), sphinx-common (= 7.2.6-6), sphinx-rtd-theme-common (= 2.0.0+dfsg-1), sysvinit-utils (= 3.08-6ubuntu3), t1utils (= 1.41-4build3), tar (= 1.35+dfsg-3build1), tex-common (= 6.18), tex-gyre (= 20180621-6), texlive-base (= 2023.20240207-1), texlive-binaries (= 2023.20230311.66589-9build3), texlive-latex-base (= 2023.20240207-1), texlive-latex-extra (= 2023.20240207-1), texlive-latex-recommended (= 2023.20240207-1), texlive-pictures (= 2023.20240207-1), tzdata (= 2024a-4ubuntu1), ucf (= 3.0043+nmu1), util-linux (= 2.39.3-9ubuntu6), x11-common (= 1:7.7+23ubuntu3), xdg-utils (= 1.1.3-4.1ubuntu3), xfonts-encodings (= 1:1.0.5-0ubuntu2), xfonts-utils (= 1:7.7+6build3), xml-core (= 0.19), xz-utils (= 5.6.1+really5.4.5-1), zlib1g (= 1:1.3.dfsg-3.1ubuntu2) Environment: DEB_BUILD_OPTIONS="parallel=4" DEB_BUILD_PROFILES="noudeb" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1715360160" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ verilator_5.024-1_amd64.deb --------------------------- new Debian package, version 2.0. size 1362002 bytes: control archive=4682 bytes. 973 bytes, 20 lines control 12813 bytes, 158 lines md5sums Package: verilator Version: 5.024-1 Architecture: amd64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 4124 Depends: python3, perl:any, libjs-sphinxdoc (>= 7.2.2), sphinx-rtd-theme-common (>= 2.0.0+dfsg) Recommends: libsystemc-dev Suggests: gtkwave Built-Using: sphinx (= 7.2.6-6) Section: electronics Priority: optional Homepage: http://www.veripool.org/wiki/verilator Description: fast free Verilog simulator Verilator is the fastest free Verilog HDL simulator, and beats many commercial simulators. It compiles synthesizable Verilog (not test-bench code!), plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams. drwxr-xr-x root/root 0 2024-05-10 16:56 ./ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/bin/ -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_bin -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_bin_dbg -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_coverage -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_coverage_bin_dbg -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_gantt -rwxr-xr-x root/root 685 2024-05-10 16:56 ./usr/bin/verilator_profcfunc drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc-base/ -rw-r--r-- root/root 350 2023-05-14 07:55 ./usr/share/doc-base/verilator.verilator drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/ -rw-r--r-- root/root 3196 2024-05-10 16:56 ./usr/share/doc/verilator/changelog.Debian.gz -rw-r--r-- root/root 9783 2024-01-13 08:51 ./usr/share/doc/verilator/copyright drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_images/ -rw-r--r-- root/root 9954 2024-05-10 16:56 ./usr/share/doc/verilator/html/_images/fig_gantt_min.png drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/ -rw-r--r-- root/root 15094 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/basic.css drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/css/ lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/css/badge_only.css -> ../../../../../sphinx_rtd_theme/static/css/badge_only.css lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/css/theme.css -> ../../../../../sphinx_rtd_theme/static/css/theme.css -rw-r--r-- root/root 382 2024-04-05 09:52 ./usr/share/doc/verilator/html/_static/css/vlt_sphinx.css lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/doctools.js -> ../../../../javascript/sphinxdoc/1.0/doctools.js -rw-r--r-- root/root 329 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/documentation_options.js -rw-r--r-- root/root 286 2024-03-21 21:19 ./usr/share/doc/verilator/html/_static/file.png drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/ lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Bold.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Bold.ttf lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Bold.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Bold.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-BoldItalic.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-BoldItalic.ttf lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-BoldItalic.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-BoldItalic.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Italic.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Italic.ttf lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Italic.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Italic.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Regular.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Regular.ttf lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/Lato-Regular.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Regular.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/RobotoSlab-Bold.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/RobotoSlab-Bold.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/RobotoSlab-Regular.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/RobotoSlab-Regular.woff2 lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.eot -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.eot lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.svg -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.svg lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.ttf lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.woff -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.woff lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.woff2 drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/js/ lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/js/theme.js -> ../../../../../sphinx_rtd_theme/static/js/theme.js lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/language_data.js -> ../../../../javascript/sphinxdoc/1.0/language_data.js -rw-r--r-- root/root 90 2024-03-21 21:19 ./usr/share/doc/verilator/html/_static/minus.png -rw-r--r-- root/root 90 2024-03-21 21:19 ./usr/share/doc/verilator/html/_static/plus.png -rw-r--r-- root/root 4929 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/pygments.css lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/searchtools.js -> ../../../../javascript/sphinxdoc/1.0/searchtools.js lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/sphinx_highlight.js -> ../../../../javascript/sphinxdoc/1.0/sphinx_highlight.js -rw-r--r-- root/root 4389 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/verilator_192_150_min.png -rw-r--r-- root/root 1563 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/verilator_32x32_min.png -rw-r--r-- root/root 8576 2024-05-10 16:56 ./usr/share/doc/verilator/html/_static/verilator_logo.png -rw-r--r-- root/root 305972 2024-05-10 16:56 ./usr/share/doc/verilator/html/changes.html -rw-r--r-- root/root 48419 2024-05-10 16:56 ./usr/share/doc/verilator/html/connecting.html -rw-r--r-- root/root 16172 2024-05-10 16:56 ./usr/share/doc/verilator/html/contributing.html -rw-r--r-- root/root 19113 2024-05-10 16:56 ./usr/share/doc/verilator/html/contributors.html -rw-r--r-- root/root 6906 2024-05-10 16:56 ./usr/share/doc/verilator/html/copyright.html -rw-r--r-- root/root 7234 2024-05-10 16:56 ./usr/share/doc/verilator/html/deprecations.html -rw-r--r-- root/root 21726 2024-05-10 16:56 ./usr/share/doc/verilator/html/environment.html -rw-r--r-- root/root 11247 2024-05-10 16:56 ./usr/share/doc/verilator/html/example_binary.html -rw-r--r-- root/root 13469 2024-05-10 16:56 ./usr/share/doc/verilator/html/example_cc.html -rw-r--r-- root/root 6913 2024-05-10 16:56 ./usr/share/doc/verilator/html/example_common_install.html -rw-r--r-- root/root 9213 2024-05-10 16:56 ./usr/share/doc/verilator/html/example_dist.html -rw-r--r-- root/root 11553 2024-05-10 16:56 ./usr/share/doc/verilator/html/example_sc.html -rw-r--r-- root/root 7808 2024-05-10 16:56 ./usr/share/doc/verilator/html/examples.html -rw-r--r-- root/root 25158 2024-05-10 16:56 ./usr/share/doc/verilator/html/exe_sim.html -rw-r--r-- root/root 249827 2024-05-10 16:56 ./usr/share/doc/verilator/html/exe_verilator.html -rw-r--r-- root/root 22671 2024-05-10 16:56 ./usr/share/doc/verilator/html/exe_verilator_coverage.html -rw-r--r-- root/root 12333 2024-05-10 16:56 ./usr/share/doc/verilator/html/exe_verilator_gantt.html -rw-r--r-- root/root 9283 2024-05-10 16:56 ./usr/share/doc/verilator/html/exe_verilator_profcfunc.html -rw-r--r-- root/root 7534 2024-05-10 16:56 ./usr/share/doc/verilator/html/executables.html -rw-r--r-- root/root 69840 2024-05-10 16:56 ./usr/share/doc/verilator/html/extensions.html -rw-r--r-- root/root 50177 2024-05-10 16:56 ./usr/share/doc/verilator/html/faq.html -rw-r--r-- root/root 18735 2024-05-10 16:56 ./usr/share/doc/verilator/html/files.html -rw-r--r-- root/root 13496 2024-05-10 16:56 ./usr/share/doc/verilator/html/index.html -rw-r--r-- root/root 10980 2024-05-10 16:56 ./usr/share/doc/verilator/html/install-cmake.html -rw-r--r-- root/root 38866 2024-05-10 16:56 ./usr/share/doc/verilator/html/install.html -rw-r--r-- root/root 42360 2024-05-10 16:56 ./usr/share/doc/verilator/html/languages.html -rw-r--r-- root/root 5991 2024-05-10 16:56 ./usr/share/doc/verilator/html/objects.inv -rw-r--r-- root/root 9788 2024-05-10 16:56 ./usr/share/doc/verilator/html/overview.html -rw-r--r-- root/root 6005 2024-05-10 16:56 ./usr/share/doc/verilator/html/search.html -rw-r--r-- root/root 222065 2024-05-10 16:56 ./usr/share/doc/verilator/html/searchindex.js -rw-r--r-- root/root 51263 2024-05-10 16:56 ./usr/share/doc/verilator/html/simulating.html -rw-r--r-- root/root 50581 2024-05-10 16:56 ./usr/share/doc/verilator/html/verilating.html -rw-r--r-- root/root 181109 2024-05-10 16:56 ./usr/share/doc/verilator/html/warnings.html -rw-r--r-- root/root 31179 2024-04-05 09:52 ./usr/share/doc/verilator/internals.rst.gz -rw-r--r-- root/root 853414 2024-05-10 16:56 ./usr/share/doc/verilator/verilator.pdf drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/lintian/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 1032 2024-05-10 16:43 ./usr/share/lintian/overrides/verilator drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/man/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/man/man1/ -rw-r--r-- root/root 5736 2024-05-10 16:56 ./usr/share/man/man1/verilator.1.gz lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/man/man1/verilator_bin.1.gz -> verilator.1.gz lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/man/man1/verilator_bin_dbg.1.gz -> verilator.1.gz -rw-r--r-- root/root 1780 2024-05-10 16:56 ./usr/share/man/man1/verilator_coverage.1.gz lrwxrwxrwx root/root 0 2024-05-10 16:56 ./usr/share/man/man1/verilator_coverage_bin_dbg.1.gz -> verilator_coverage.1.gz -rw-r--r-- root/root 723 2024-05-10 16:56 ./usr/share/man/man1/verilator_gantt.1.gz -rw-r--r-- root/root 686 2024-05-10 16:56 ./usr/share/man/man1/verilator_profcfunc.1.gz drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/pkgconfig/ -rw-r--r-- root/root 269 2024-05-10 16:56 ./usr/share/pkgconfig/verilator.pc drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_c/ -rw-r--r-- root/root 1283 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_c/CMakeLists.txt -rw-r--r-- root/root 2106 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_c/Makefile drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_sc/ -rw-r--r-- root/root 1607 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_sc/CMakeLists.txt -rw-r--r-- root/root 3538 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_hello_sc/Makefile drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_protect_lib/ -rw-r--r-- root/root 2522 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_protect_lib/CMakeLists.txt -rw-r--r-- root/root 2106 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_protect_lib/Makefile drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_c/ -rw-r--r-- root/root 1394 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_c/CMakeLists.txt -rw-r--r-- root/root 2304 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_c/Makefile drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_sc/ -rw-r--r-- root/root 1718 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_sc/CMakeLists.txt -rw-r--r-- root/root 3575 2024-05-10 16:56 ./usr/share/verilator/examples/cmake_tracing_sc/Makefile drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/ -rw-r--r-- root/root 1928 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/Makefile -rw-r--r-- root/root 453 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/sub.v -rw-r--r-- root/root 859 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/top.v -rwxr-xr-x root/root 4505 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/vl_file_copy -rwxr-xr-x root/root 6247 2024-05-10 16:56 ./usr/share/verilator/examples/json_py/vl_hier_graph drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_binary/ -rw-r--r-- root/root 1836 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_binary/Makefile -rw-r--r-- root/root 373 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_binary/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_c/ -rw-r--r-- root/root 1851 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_c/Makefile -rw-r--r-- root/root 1396 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_c/sim_main.cpp -rw-r--r-- root/root 373 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_c/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_sc/ -rw-r--r-- root/root 2177 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_sc/Makefile -rw-r--r-- root/root 1406 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_sc/sc_main.cpp -rw-r--r-- root/root 373 2024-05-10 16:56 ./usr/share/verilator/examples/make_hello_sc/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_protect_lib/ -rw-r--r-- root/root 3738 2024-05-10 16:56 ./usr/share/verilator/examples/make_protect_lib/Makefile -rw-r--r-- root/root 749 2024-05-10 16:56 ./usr/share/verilator/examples/make_protect_lib/secret_impl.v -rw-r--r-- root/root 1892 2024-05-10 16:56 ./usr/share/verilator/examples/make_protect_lib/sim_main.cpp -rw-r--r-- root/root 874 2024-05-10 16:56 ./usr/share/verilator/examples/make_protect_lib/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/ -rw-r--r-- root/root 3058 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/Makefile -rw-r--r-- root/root 1823 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/Makefile_obj -rw-r--r-- root/root 129 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/input.vc -rw-r--r-- root/root 4837 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/sim_main.cpp -rw-r--r-- root/root 1126 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/sub.v -rw-r--r-- root/root 1487 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_c/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/ -rw-r--r-- root/root 3395 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/Makefile -rw-r--r-- root/root 2266 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/Makefile_obj -rw-r--r-- root/root 129 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/input.vc -rw-r--r-- root/root 4120 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/sc_main.cpp -rw-r--r-- root/root 1590 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/sub.v -rw-r--r-- root/root 1381 2024-05-10 16:56 ./usr/share/verilator/examples/make_tracing_sc/top.v drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/include/ drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/ -rw-r--r-- root/root 13561 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fastlz.c -rw-r--r-- root/root 3685 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fastlz.h -rw-r--r-- root/root 429 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fst_config.h -rw-r--r-- root/root 1593 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fst_win_unistd.h -rw-r--r-- root/root 256756 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fstapi.c -rw-r--r-- root/root 18479 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/fstapi.h -rw-r--r-- root/root 115587 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/lz4.c -rw-r--r-- root/root 44985 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/lz4.h -rw-r--r-- root/root 1431 2024-05-10 16:56 ./usr/share/verilator/include/gtkwave/wavealloca.h -rw-r--r-- root/root 138451 2024-05-10 16:56 ./usr/share/verilator/include/verilated.cpp -rw-r--r-- root/root 44623 2024-05-10 16:56 ./usr/share/verilator/include/verilated.h -rw-r--r-- root/root 12431 2024-05-10 16:56 ./usr/share/verilator/include/verilated.mk -rw-r--r-- root/root 875 2024-05-10 16:56 ./usr/share/verilator/include/verilated.v -rw-r--r-- root/root 1204 2024-05-10 16:56 ./usr/share/verilator/include/verilated_config.h -rw-r--r-- root/root 1230 2024-05-10 16:56 ./usr/share/verilator/include/verilated_config.h.in -rw-r--r-- root/root 21434 2024-05-10 16:56 ./usr/share/verilator/include/verilated_cov.cpp -rw-r--r-- root/root 7675 2024-05-10 16:56 ./usr/share/verilator/include/verilated_cov.h -rw-r--r-- root/root 3911 2024-05-10 16:56 ./usr/share/verilator/include/verilated_cov_key.h -rw-r--r-- root/root 33336 2024-05-10 16:56 ./usr/share/verilator/include/verilated_dpi.cpp -rw-r--r-- root/root 3754 2024-05-10 16:56 ./usr/share/verilator/include/verilated_dpi.h -rw-r--r-- root/root 14930 2024-05-10 16:56 ./usr/share/verilator/include/verilated_fst_c.cpp -rw-r--r-- root/root 10640 2024-05-10 16:56 ./usr/share/verilator/include/verilated_fst_c.h -rw-r--r-- root/root 971 2024-05-10 16:56 ./usr/share/verilator/include/verilated_fst_sc.cpp -rw-r--r-- root/root 1993 2024-05-10 16:56 ./usr/share/verilator/include/verilated_fst_sc.h -rw-r--r-- root/root 109183 2024-05-10 16:56 ./usr/share/verilator/include/verilated_funcs.h -rw-r--r-- root/root 23453 2024-05-10 16:56 ./usr/share/verilator/include/verilated_imp.h -rw-r--r-- root/root 1558 2024-05-10 16:56 ./usr/share/verilator/include/verilated_intrinsics.h -rw-r--r-- root/root 7469 2024-05-10 16:56 ./usr/share/verilator/include/verilated_probdist.cpp -rw-r--r-- root/root 8756 2024-05-10 16:56 ./usr/share/verilator/include/verilated_profiler.cpp -rw-r--r-- root/root 9938 2024-05-10 16:56 ./usr/share/verilator/include/verilated_profiler.h -rw-r--r-- root/root 9657 2024-05-10 16:56 ./usr/share/verilator/include/verilated_save.cpp -rw-r--r-- root/root 12237 2024-05-10 16:56 ./usr/share/verilator/include/verilated_save.h -rw-r--r-- root/root 2135 2024-05-10 16:56 ./usr/share/verilator/include/verilated_sc.h -rw-r--r-- root/root 9551 2024-05-10 16:56 ./usr/share/verilator/include/verilated_sc_trace.h -rw-r--r-- root/root 4942 2024-05-10 16:56 ./usr/share/verilator/include/verilated_std.sv -rw-r--r-- root/root 10406 2024-05-10 16:56 ./usr/share/verilator/include/verilated_sym_props.h -rw-r--r-- root/root 2696 2024-05-10 16:56 ./usr/share/verilator/include/verilated_syms.h -rw-r--r-- root/root 3505 2024-05-10 16:56 ./usr/share/verilator/include/verilated_threads.cpp -rw-r--r-- root/root 7842 2024-05-10 16:56 ./usr/share/verilator/include/verilated_threads.h -rw-r--r-- root/root 8239 2024-05-10 16:56 ./usr/share/verilator/include/verilated_timing.cpp -rw-r--r-- root/root 19597 2024-05-10 16:56 ./usr/share/verilator/include/verilated_timing.h -rw-r--r-- root/root 24555 2024-05-10 16:56 ./usr/share/verilator/include/verilated_trace.h -rw-r--r-- root/root 35906 2024-05-10 16:56 ./usr/share/verilator/include/verilated_trace_imp.h -rw-r--r-- root/root 65147 2024-05-10 16:56 ./usr/share/verilator/include/verilated_types.h -rw-r--r-- root/root 23832 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vcd_c.cpp -rw-r--r-- root/root 14053 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vcd_c.h -rw-r--r-- root/root 976 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vcd_sc.cpp -rw-r--r-- root/root 2049 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vcd_sc.h -rw-r--r-- root/root 118487 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vpi.cpp -rw-r--r-- root/root 2123 2024-05-10 16:56 ./usr/share/verilator/include/verilated_vpi.h -rw-r--r-- root/root 26070 2024-05-10 16:56 ./usr/share/verilator/include/verilatedos.h -rw-r--r-- root/root 3824 2024-05-10 16:56 ./usr/share/verilator/include/verilatedos_c.h drwxr-xr-x root/root 0 2024-05-10 16:56 ./usr/share/verilator/include/vltstd/ -rw-r--r-- root/root 23563 2024-05-10 16:56 ./usr/share/verilator/include/vltstd/sv_vpi_user.h -rw-r--r-- root/root 20054 2024-05-10 16:56 ./usr/share/verilator/include/vltstd/svdpi.h -rw-r--r-- root/root 45761 2024-05-10 16:56 ./usr/share/verilator/include/vltstd/vpi_user.h -rw-r--r-- root/root 912 2024-05-10 16:56 ./usr/share/verilator/verilator-config-version.cmake -rw-r--r-- root/root 15860 2024-05-10 16:56 ./usr/share/verilator/verilator-config.cmake +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: amd64 Build Type: binary Build-Space: 2876752 Build-Time: 536 Distribution: oracular-proposed Host Architecture: amd64 Install-Time: 88 Job: verilator_5.024-1.dsc Machine Architecture: amd64 Package: verilator Package-Time: 626 Source-Version: 5.024-1 Space: 2876752 Status: successful Version: 5.024-1 -------------------------------------------------------------------------------- Finished at 2024-05-10T23:26:43Z Build needed 00:10:26, 2876752k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=oracular --arch=amd64 PACKAGEBUILD-28438064 Scanning for processes to kill in build PACKAGEBUILD-28438064