https://launchpad.net/ubuntu/+source/verilator/5.012-1/+build/26382441 RUN: /usr/share/launchpad-buildd/bin/builder-prep Kernel version: Linux bos02-arm64-008 5.4.0-153-generic #170-Ubuntu SMP Fri Jun 16 13:46:00 UTC 2023 aarch64 Buildd toolchain package versions: launchpad-buildd_234~642~ubuntu20.04.1 python3-lpbuildd_234~642~ubuntu20.04.1 sbuild_0.79.0-1ubuntu1 git-build-recipe_0.3.6 git_1:2.25.1-1ubuntu3.11 dpkg-dev_1.19.7ubuntu3.2 python3-debian_0.1.36ubuntu1. Syncing the system clock with the buildd NTP service... 3 Jul 06:08:28 ntpdate[1827]: adjust time server 10.211.37.1 offset 0.012902 sec RUN: /usr/share/launchpad-buildd/bin/in-target unpack-chroot --backend=chroot --series=mantic --arch=arm64 PACKAGEBUILD-26382441 --image-type chroot /home/buildd/filecache-default/f16216f5497f1f0724e8a3a0f3bd4b5063e2189b Creating target for build PACKAGEBUILD-26382441 RUN: /usr/share/launchpad-buildd/bin/in-target mount-chroot --backend=chroot --series=mantic --arch=arm64 PACKAGEBUILD-26382441 Starting target for build PACKAGEBUILD-26382441 RUN: /usr/share/launchpad-buildd/bin/in-target override-sources-list --backend=chroot --series=mantic --arch=arm64 PACKAGEBUILD-26382441 'deb http://ftpmaster.internal/ubuntu mantic main universe' 'deb http://ftpmaster.internal/ubuntu mantic-security main universe' 'deb http://ftpmaster.internal/ubuntu mantic-updates main universe' 'deb http://ftpmaster.internal/ubuntu mantic-proposed main universe' Overriding sources.list in build-PACKAGEBUILD-26382441 RUN: /usr/share/launchpad-buildd/bin/in-target update-debian-chroot --backend=chroot --series=mantic --arch=arm64 PACKAGEBUILD-26382441 Updating target for build PACKAGEBUILD-26382441 Get:1 http://ftpmaster.internal/ubuntu mantic InRelease [255 kB] Get:2 http://ftpmaster.internal/ubuntu mantic-security InRelease [90.7 kB] Get:3 http://ftpmaster.internal/ubuntu mantic-updates InRelease [90.7 kB] Get:4 http://ftpmaster.internal/ubuntu mantic-proposed InRelease [118 kB] Get:5 http://ftpmaster.internal/ubuntu mantic/main arm64 Packages [1376 kB] Get:6 http://ftpmaster.internal/ubuntu mantic/main Translation-en [515 kB] Get:7 http://ftpmaster.internal/ubuntu mantic/universe arm64 Packages [14.5 MB] Get:8 http://ftpmaster.internal/ubuntu mantic/universe Translation-en [5942 kB] Get:9 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 Packages [79.2 kB] Get:10 http://ftpmaster.internal/ubuntu mantic-proposed/main Translation-en [28.0 kB] Get:11 http://ftpmaster.internal/ubuntu mantic-proposed/universe arm64 Packages [304 kB] Get:12 http://ftpmaster.internal/ubuntu mantic-proposed/universe Translation-en [119 kB] Fetched 23.4 MB in 8s (2978 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... Calculating upgrade... The following NEW packages will be installed: gcc-13-base libproc2-0 libsframe1 The following packages will be upgraded: adduser advancecomp apt base-files bash binutils binutils-aarch64-linux-gnu binutils-common bsdutils build-essential ca-certificates coreutils cpp cpp-12 dash debconf diffutils dpkg dpkg-dev e2fsprogs fakeroot findutils g++ g++-12 gcc gcc-12 gcc-12-base gpg gpg-agent gpgconf gpgv grep hostname libacl1 libapparmor1 libapt-pkg6.0 libargon2-1 libasan8 libatomic1 libattr1 libaudit-common libaudit1 libbinutils libblkid1 libc-bin libc-dev-bin libc6 libc6-dev libcap-ng0 libcap2 libcc1-0 libcom-err2 libcrypt-dev libcrypt1 libcryptsetup12 libctf-nobfd0 libctf0 libdb5.3 libdebconfclient0 libdevmapper1.02.1 libdpkg-perl libext2fs2 libfakeroot libfdisk1 libgcc-12-dev libgcc-s1 libgcrypt20 libgnutls30 libgomp1 libgprofng0 libgssapi-krb5-2 libhwasan0 libisl23 libitm1 libk5crypto3 libkmod2 libkrb5-3 libkrb5support0 liblsan0 liblzma5 libmd0 libmount1 libmpfr6 libncurses6 libncursesw6 libp11-kit0 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpcre2-8-0 libperl5.36 libpng16-16 libreadline8 libseccomp2 libselinux1 libsemanage-common libsemanage2 libsepol2 libsmartcols1 libsqlite3-0 libss2 libssl3 libstdc++-12-dev libstdc++6 libsystemd-shared libsystemd0 libtasn1-6 libtinfo6 libtsan2 libubsan1 libudev1 libuuid1 libzstd1 linux-libc-dev logsave lsb-base lto-disabled-list mawk mount ncurses-base ncurses-bin openssl perl perl-base perl-modules-5.36 pkgbinarymangler procps readline-common sed sensible-utils systemd systemd-sysv sysvinit-utils tar tzdata usrmerge util-linux util-linux-extra xz-utils zlib1g 141 upgraded, 3 newly installed, 0 to remove and 0 not upgraded. Need to get 104 MB of archives. After this operation, 5320 kB of additional disk space will be used. Get:1 http://ftpmaster.internal/ubuntu mantic/main arm64 libcrypt-dev arm64 1:4.4.35-1 [118 kB] Get:2 http://ftpmaster.internal/ubuntu mantic/main arm64 libc6-dev arm64 2.37-0ubuntu2 [1544 kB] Get:3 http://ftpmaster.internal/ubuntu mantic/main arm64 libc-dev-bin arm64 2.37-0ubuntu2 [19.3 kB] Get:4 http://ftpmaster.internal/ubuntu mantic/main arm64 libcrypt1 arm64 1:4.4.35-1 [85.7 kB] Get:5 http://ftpmaster.internal/ubuntu mantic/main arm64 linux-libc-dev arm64 6.3.0-7.7 [1518 kB] Get:6 http://ftpmaster.internal/ubuntu mantic/main arm64 libc6 arm64 2.37-0ubuntu2 [2723 kB] Get:7 http://ftpmaster.internal/ubuntu mantic/main arm64 libc-bin arm64 2.37-0ubuntu2 [584 kB] Get:8 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 gcc-13-base arm64 13.1.0-7ubuntu1 [42.2 kB] Get:9 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libgcc-s1 arm64 13.1.0-7ubuntu1 [46.6 kB] Get:10 http://ftpmaster.internal/ubuntu mantic/main arm64 base-files arm64 13ubuntu1 [74.1 kB] Get:11 http://ftpmaster.internal/ubuntu mantic/main arm64 bash arm64 5.2.15-2ubuntu1 [780 kB] Get:12 http://ftpmaster.internal/ubuntu mantic/main arm64 bsdutils arm64 1:2.38.1-5ubuntu2 [94.5 kB] Get:13 http://ftpmaster.internal/ubuntu mantic/main arm64 coreutils arm64 9.1-1ubuntu2 [1347 kB] Get:14 http://ftpmaster.internal/ubuntu mantic/main arm64 liblzma5 arm64 5.4.1-0.2 [122 kB] Get:15 http://ftpmaster.internal/ubuntu mantic/main arm64 libgcrypt20 arm64 1.10.1-3ubuntu1 [465 kB] Get:16 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libstdc++6 arm64 13.1.0-7ubuntu1 [732 kB] Get:17 http://ftpmaster.internal/ubuntu mantic/main arm64 libacl1 arm64 2.3.1-3 [16.3 kB] Get:18 http://ftpmaster.internal/ubuntu mantic/main arm64 libapparmor1 arm64 3.0.8-1ubuntu3 [46.4 kB] Get:19 http://ftpmaster.internal/ubuntu mantic/main arm64 libaudit-common all 1:3.0.9-1 [5142 B] Get:20 http://ftpmaster.internal/ubuntu mantic/main arm64 libcap-ng0 arm64 0.8.3-1build2 [14.6 kB] Get:21 http://ftpmaster.internal/ubuntu mantic/main arm64 libaudit1 arm64 1:3.0.9-1 [46.1 kB] Get:22 http://ftpmaster.internal/ubuntu mantic/main arm64 libblkid1 arm64 2.38.1-5ubuntu2 [110 kB] Get:23 http://ftpmaster.internal/ubuntu mantic/main arm64 libcap2 arm64 1:2.66-4ubuntu1 [29.1 kB] Get:24 http://ftpmaster.internal/ubuntu mantic/main arm64 libperl5.36 arm64 5.36.0-7ubuntu1 [4674 kB] Get:25 http://ftpmaster.internal/ubuntu mantic/main arm64 perl arm64 5.36.0-7ubuntu1 [235 kB] Get:26 http://ftpmaster.internal/ubuntu mantic/main arm64 perl-base arm64 5.36.0-7ubuntu1 [1730 kB] Get:27 http://ftpmaster.internal/ubuntu mantic/main arm64 perl-modules-5.36 all 5.36.0-7ubuntu1 [2984 kB] Get:28 http://ftpmaster.internal/ubuntu mantic/main arm64 libdb5.3 arm64 5.3.28+dfsg2-1 [732 kB] Get:29 http://ftpmaster.internal/ubuntu mantic/main arm64 zlib1g arm64 1:1.2.13.dfsg-1ubuntu4 [59.3 kB] Get:30 http://ftpmaster.internal/ubuntu mantic/main arm64 debconf all 1.5.82 [125 kB] Get:31 http://ftpmaster.internal/ubuntu mantic/main arm64 libssl3 arm64 3.0.9-1ubuntu1 [1761 kB] Get:32 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libzstd1 arm64 1.5.5+dfsg2-1ubuntu1 [275 kB] Get:33 http://ftpmaster.internal/ubuntu mantic/main arm64 libkmod2 arm64 30+20221128-1ubuntu1 [47.7 kB] Get:34 http://ftpmaster.internal/ubuntu mantic/main arm64 libpcre2-8-0 arm64 10.42-1 [210 kB] Get:35 http://ftpmaster.internal/ubuntu mantic/main arm64 libselinux1 arm64 3.4-1build4 [77.6 kB] Get:36 http://ftpmaster.internal/ubuntu mantic/main arm64 libmount1 arm64 2.38.1-5ubuntu2 [119 kB] Get:37 http://ftpmaster.internal/ubuntu mantic/main arm64 libpam0g arm64 1.5.2-6ubuntu1 [65.8 kB] Get:38 http://ftpmaster.internal/ubuntu mantic/main arm64 libseccomp2 arm64 2.5.4-1ubuntu3 [49.3 kB] Get:39 http://ftpmaster.internal/ubuntu mantic/main arm64 systemd-sysv arm64 252.5-2ubuntu3 [11.5 kB] Get:40 http://ftpmaster.internal/ubuntu mantic/main arm64 systemd arm64 252.5-2ubuntu3 [2906 kB] Get:41 http://ftpmaster.internal/ubuntu mantic/main arm64 libsystemd-shared arm64 252.5-2ubuntu3 [1750 kB] Get:42 http://ftpmaster.internal/ubuntu mantic/main arm64 libargon2-1 arm64 0~20190702+dfsg-3 [18.9 kB] Get:43 http://ftpmaster.internal/ubuntu mantic/main arm64 libudev1 arm64 252.5-2ubuntu3 [148 kB] Get:44 http://ftpmaster.internal/ubuntu mantic/main arm64 libdevmapper1.02.1 arm64 2:1.02.185-2ubuntu1 [128 kB] Get:45 http://ftpmaster.internal/ubuntu mantic/main arm64 libuuid1 arm64 2.38.1-5ubuntu2 [32.5 kB] Get:46 http://ftpmaster.internal/ubuntu mantic/main arm64 libcryptsetup12 arm64 2:2.6.1-4ubuntu1 [238 kB] Get:47 http://ftpmaster.internal/ubuntu mantic/main arm64 libfdisk1 arm64 2.38.1-5ubuntu2 [140 kB] Get:48 http://ftpmaster.internal/ubuntu mantic/main arm64 libp11-kit0 arm64 0.24.1-2ubuntu1 [226 kB] Get:49 http://ftpmaster.internal/ubuntu mantic/main arm64 mount arm64 2.38.1-5ubuntu2 [112 kB] Get:50 http://ftpmaster.internal/ubuntu mantic/main arm64 libsystemd0 arm64 252.5-2ubuntu3 [382 kB] Get:51 http://ftpmaster.internal/ubuntu mantic/main arm64 libapt-pkg6.0 arm64 2.7.1 [865 kB] Get:52 http://ftpmaster.internal/ubuntu mantic/main arm64 tar arm64 1.34+dfsg-1.2ubuntu1 [283 kB] Get:53 http://ftpmaster.internal/ubuntu mantic/main arm64 dpkg arm64 1.21.22ubuntu1 [1390 kB] Get:54 http://ftpmaster.internal/ubuntu mantic/main arm64 dash arm64 0.5.12-2ubuntu1 [87.8 kB] Get:55 http://ftpmaster.internal/ubuntu mantic/main arm64 diffutils arm64 1:3.8-4 [172 kB] Get:56 http://ftpmaster.internal/ubuntu mantic/main arm64 findutils arm64 4.9.0-4ubuntu1 [300 kB] Get:57 http://ftpmaster.internal/ubuntu mantic/main arm64 grep arm64 3.8-5 [156 kB] Get:58 http://ftpmaster.internal/ubuntu mantic/main arm64 hostname arm64 3.23+nmu1ubuntu1 [10.7 kB] Get:59 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libncurses6 arm64 6.4+20230625-1 [108 kB] Get:60 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libncursesw6 arm64 6.4+20230625-1 [141 kB] Get:61 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libtinfo6 arm64 6.4+20230625-1 [104 kB] Get:62 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 ncurses-bin arm64 6.4+20230625-1 [184 kB] Get:63 http://ftpmaster.internal/ubuntu mantic/main arm64 sed arm64 4.9-1 [191 kB] Get:64 http://ftpmaster.internal/ubuntu mantic/main arm64 libsmartcols1 arm64 2.38.1-5ubuntu2 [58.2 kB] Get:65 http://ftpmaster.internal/ubuntu mantic/main arm64 util-linux-extra arm64 2.38.1-5ubuntu2 [81.4 kB] Get:66 http://ftpmaster.internal/ubuntu mantic/main arm64 util-linux arm64 2.38.1-5ubuntu2 [1074 kB] Get:67 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 ncurses-base all 6.4+20230625-1 [24.1 kB] Get:68 http://ftpmaster.internal/ubuntu mantic/main arm64 sysvinit-utils arm64 3.06-4ubuntu1 [32.4 kB] Get:69 http://ftpmaster.internal/ubuntu mantic/main arm64 lsb-base all 11.6 [4606 B] Get:70 http://ftpmaster.internal/ubuntu mantic/main arm64 adduser all 3.134ubuntu1 [136 kB] Get:71 http://ftpmaster.internal/ubuntu mantic/main arm64 gpgv arm64 2.2.40-1.1ubuntu1 [135 kB] Get:72 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libtasn1-6 arm64 4.19.0-3 [42.8 kB] Get:73 http://ftpmaster.internal/ubuntu mantic/main arm64 libgnutls30 arm64 3.7.9-2ubuntu1 [946 kB] Get:74 http://ftpmaster.internal/ubuntu mantic/main arm64 apt arm64 2.7.1 [1310 kB] Get:75 http://ftpmaster.internal/ubuntu mantic/main arm64 libpam-modules-bin arm64 1.5.2-6ubuntu1 [46.1 kB] Get:76 http://ftpmaster.internal/ubuntu mantic/main arm64 libpam-modules arm64 1.5.2-6ubuntu1 [283 kB] Get:77 http://ftpmaster.internal/ubuntu mantic/main arm64 logsave arm64 1.47.0-1ubuntu2 [21.4 kB] Get:78 http://ftpmaster.internal/ubuntu mantic/main arm64 libext2fs2 arm64 1.47.0-1ubuntu2 [221 kB] Get:79 http://ftpmaster.internal/ubuntu mantic/main arm64 e2fsprogs arm64 1.47.0-1ubuntu2 [591 kB] Get:80 http://ftpmaster.internal/ubuntu mantic/main arm64 libattr1 arm64 1:2.5.1-4 [12.2 kB] Get:81 http://ftpmaster.internal/ubuntu mantic/main arm64 libdebconfclient0 arm64 0.267ubuntu1 [7842 B] Get:82 http://ftpmaster.internal/ubuntu mantic/main arm64 libmd0 arm64 1.1.0-1 [24.4 kB] Get:83 http://ftpmaster.internal/ubuntu mantic/main arm64 libpam-runtime all 1.5.2-6ubuntu1 [41.5 kB] Get:84 http://ftpmaster.internal/ubuntu mantic/main arm64 libsemanage-common all 3.4-1build4 [9852 B] Get:85 http://ftpmaster.internal/ubuntu mantic/main arm64 libsepol2 arm64 3.4-2.1 [288 kB] Get:86 http://ftpmaster.internal/ubuntu mantic/main arm64 libsemanage2 arm64 3.4-1build4 [89.3 kB] Get:87 http://ftpmaster.internal/ubuntu mantic/main arm64 usrmerge all 35ubuntu1 [58.2 kB] Get:88 http://ftpmaster.internal/ubuntu mantic/main arm64 libcom-err2 arm64 1.47.0-1ubuntu2 [21.5 kB] Get:89 http://ftpmaster.internal/ubuntu mantic/main arm64 libproc2-0 arm64 2:4.0.3-1ubuntu1 [53.1 kB] Get:90 http://ftpmaster.internal/ubuntu mantic/main arm64 libss2 arm64 1.47.0-1ubuntu2 [16.1 kB] Get:91 http://ftpmaster.internal/ubuntu mantic/main arm64 mawk arm64 1.3.4.20230525-1 [109 kB] Get:92 http://ftpmaster.internal/ubuntu mantic/main arm64 procps arm64 2:4.0.3-1ubuntu1 [606 kB] Get:93 http://ftpmaster.internal/ubuntu mantic/main arm64 sensible-utils all 0.0.20 [19.6 kB] Get:94 http://ftpmaster.internal/ubuntu mantic/main arm64 openssl arm64 3.0.9-1ubuntu1 [1168 kB] Get:95 http://ftpmaster.internal/ubuntu mantic/main arm64 ca-certificates all 20230311ubuntu1 [152 kB] Get:96 http://ftpmaster.internal/ubuntu mantic/main arm64 libgssapi-krb5-2 arm64 1.20.1-2 [139 kB] Get:97 http://ftpmaster.internal/ubuntu mantic/main arm64 libkrb5-3 arm64 1.20.1-2 [345 kB] Get:98 http://ftpmaster.internal/ubuntu mantic/main arm64 libkrb5support0 arm64 1.20.1-2 [32.6 kB] Get:99 http://ftpmaster.internal/ubuntu mantic/main arm64 libk5crypto3 arm64 1.20.1-2 [84.8 kB] Get:100 http://ftpmaster.internal/ubuntu mantic/main arm64 readline-common all 8.2-1.3 [55.7 kB] Get:101 http://ftpmaster.internal/ubuntu mantic/main arm64 libreadline8 arm64 8.2-1.3 [150 kB] Get:102 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libsqlite3-0 arm64 3.42.0-1 [662 kB] Get:103 http://ftpmaster.internal/ubuntu mantic/main arm64 tzdata all 2023c-4exp1ubuntu1 [271 kB] Get:104 http://ftpmaster.internal/ubuntu mantic/main arm64 libpng16-16 arm64 1.6.40-1 [182 kB] Get:105 http://ftpmaster.internal/ubuntu mantic/main arm64 xz-utils arm64 5.4.1-0.2 [271 kB] Get:106 http://ftpmaster.internal/ubuntu mantic/main arm64 advancecomp arm64 2.5-1 [171 kB] Get:107 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libgprofng0 arm64 2.40.50.20230701-0ubuntu1 [802 kB] Get:108 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libctf0 arm64 2.40.50.20230701-0ubuntu1 [93.2 kB] Get:109 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libctf-nobfd0 arm64 2.40.50.20230701-0ubuntu1 [96.8 kB] Get:110 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 binutils-aarch64-linux-gnu arm64 2.40.50.20230701-0ubuntu1 [3163 kB] Get:111 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libbinutils arm64 2.40.50.20230701-0ubuntu1 [757 kB] Get:112 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 binutils arm64 2.40.50.20230701-0ubuntu1 [18.6 kB] Get:113 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 binutils-common arm64 2.40.50.20230701-0ubuntu1 [252 kB] Get:114 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libsframe1 arm64 2.40.50.20230701-0ubuntu1 [13.5 kB] Get:115 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libubsan1 arm64 13.1.0-7ubuntu1 [1089 kB] Get:116 http://ftpmaster.internal/ubuntu mantic/main arm64 g++-12 arm64 12.3.0-3ubuntu1 [10.9 MB] Get:117 http://ftpmaster.internal/ubuntu mantic/main arm64 libstdc++-12-dev arm64 12.3.0-3ubuntu1 [2167 kB] Get:118 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libgomp1 arm64 13.1.0-7ubuntu1 [134 kB] Get:119 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libitm1 arm64 13.1.0-7ubuntu1 [27.8 kB] Get:120 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libatomic1 arm64 13.1.0-7ubuntu1 [11.7 kB] Get:121 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libasan8 arm64 13.1.0-7ubuntu1 [2739 kB] Get:122 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 liblsan0 arm64 13.1.0-7ubuntu1 [1183 kB] Get:123 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libhwasan0 arm64 13.1.0-7ubuntu1 [1241 kB] Get:124 http://ftpmaster.internal/ubuntu mantic/main arm64 gcc-12 arm64 12.3.0-3ubuntu1 [19.1 MB] Get:125 http://ftpmaster.internal/ubuntu mantic/main arm64 libgcc-12-dev arm64 12.3.0-3ubuntu1 [1156 kB] Get:126 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libtsan2 arm64 13.1.0-7ubuntu1 [2617 kB] Get:127 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libcc1-0 arm64 13.1.0-7ubuntu1 [49.6 kB] Get:128 http://ftpmaster.internal/ubuntu mantic/main arm64 cpp-12 arm64 12.3.0-3ubuntu1 [9574 kB] Get:129 http://ftpmaster.internal/ubuntu mantic/main arm64 gcc-12-base arm64 12.3.0-3ubuntu1 [42.8 kB] Get:130 http://ftpmaster.internal/ubuntu mantic/main arm64 libisl23 arm64 0.26-3 [713 kB] Get:131 http://ftpmaster.internal/ubuntu mantic/main arm64 libmpfr6 arm64 4.2.0-1 [256 kB] Get:132 http://ftpmaster.internal/ubuntu mantic/main arm64 g++ arm64 4:12.3.0-1ubuntu2 [1110 B] Get:133 http://ftpmaster.internal/ubuntu mantic/main arm64 gcc arm64 4:12.3.0-1ubuntu2 [5178 B] Get:134 http://ftpmaster.internal/ubuntu mantic/main arm64 cpp arm64 4:12.3.0-1ubuntu2 [28.8 kB] Get:135 http://ftpmaster.internal/ubuntu mantic/main arm64 dpkg-dev all 1.21.22ubuntu1 [1118 kB] Get:136 http://ftpmaster.internal/ubuntu mantic/main arm64 libdpkg-perl all 1.21.22ubuntu1 [247 kB] Get:137 http://ftpmaster.internal/ubuntu mantic/main arm64 lto-disabled-list all 41 [12.4 kB] Get:138 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 build-essential arm64 12.10ubuntu1 [4932 B] Get:139 http://ftpmaster.internal/ubuntu mantic/main arm64 libfakeroot arm64 1.31-1.2 [31.9 kB] Get:140 http://ftpmaster.internal/ubuntu mantic/main arm64 fakeroot arm64 1.31-1.2 [59.6 kB] Get:141 http://ftpmaster.internal/ubuntu mantic/main arm64 gpg arm64 2.2.40-1.1ubuntu1 [509 kB] Get:142 http://ftpmaster.internal/ubuntu mantic/main arm64 gpgconf arm64 2.2.40-1.1ubuntu1 [95.3 kB] Get:143 http://ftpmaster.internal/ubuntu mantic/main arm64 gpg-agent arm64 2.2.40-1.1ubuntu1 [211 kB] Get:144 http://ftpmaster.internal/ubuntu mantic/main arm64 pkgbinarymangler all 153 [16.3 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 104 MB in 16s (6384 kB/s) (Reading database ... 13115 files and directories currently installed.) Preparing to unpack .../libcrypt-dev_1%3a4.4.35-1_arm64.deb ... Unpacking libcrypt-dev:arm64 (1:4.4.35-1) over (1:4.4.33-1) ... Preparing to unpack .../libc6-dev_2.37-0ubuntu2_arm64.deb ... Unpacking libc6-dev:arm64 (2.37-0ubuntu2) over (2.36-0ubuntu4) ... Preparing to unpack .../libc-dev-bin_2.37-0ubuntu2_arm64.deb ... Unpacking libc-dev-bin (2.37-0ubuntu2) over (2.36-0ubuntu4) ... Preparing to unpack .../libcrypt1_1%3a4.4.35-1_arm64.deb ... Unpacking libcrypt1:arm64 (1:4.4.35-1) over (1:4.4.33-1) ... Setting up libcrypt1:arm64 (1:4.4.35-1) ... (Reading database ... 13115 files and directories currently installed.) Preparing to unpack .../linux-libc-dev_6.3.0-7.7_arm64.deb ... Unpacking linux-libc-dev:arm64 (6.3.0-7.7) over (5.19.0-21.21) ... Preparing to unpack .../libc6_2.37-0ubuntu2_arm64.deb ... Unpacking libc6:arm64 (2.37-0ubuntu2) over (2.36-0ubuntu4) ... Setting up libc6:arm64 (2.37-0ubuntu2) ... (Reading database ... 13119 files and directories currently installed.) Preparing to unpack .../libc-bin_2.37-0ubuntu2_arm64.deb ... Unpacking libc-bin (2.37-0ubuntu2) over (2.36-0ubuntu4) ... Setting up libc-bin (2.37-0ubuntu2) ... Selecting previously unselected package gcc-13-base:arm64. (Reading database ... 13119 files and directories currently installed.) Preparing to unpack .../gcc-13-base_13.1.0-7ubuntu1_arm64.deb ... Unpacking gcc-13-base:arm64 (13.1.0-7ubuntu1) ... Setting up gcc-13-base:arm64 (13.1.0-7ubuntu1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libgcc-s1_13.1.0-7ubuntu1_arm64.deb ... Unpacking libgcc-s1:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Setting up libgcc-s1:arm64 (13.1.0-7ubuntu1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../base-files_13ubuntu1_arm64.deb ... Unpacking base-files (13ubuntu1) over (12.3ubuntu1) ... Setting up base-files (13ubuntu1) ... Installing new version of config file /etc/debian_version ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../bash_5.2.15-2ubuntu1_arm64.deb ... Unpacking bash (5.2.15-2ubuntu1) over (5.2-1ubuntu2) ... Setting up bash (5.2.15-2ubuntu1) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../bsdutils_1%3a2.38.1-5ubuntu2_arm64.deb ... Unpacking bsdutils (1:2.38.1-5ubuntu2) over (1:2.38.1-4ubuntu1) ... Setting up bsdutils (1:2.38.1-5ubuntu2) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../coreutils_9.1-1ubuntu2_arm64.deb ... Unpacking coreutils (9.1-1ubuntu2) over (8.32-4.1ubuntu1) ... Setting up coreutils (9.1-1ubuntu2) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../liblzma5_5.4.1-0.2_arm64.deb ... Unpacking liblzma5:arm64 (5.4.1-0.2) over (5.2.9-0.0) ... Setting up liblzma5:arm64 (5.4.1-0.2) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libgcrypt20_1.10.1-3ubuntu1_arm64.deb ... Unpacking libgcrypt20:arm64 (1.10.1-3ubuntu1) over (1.10.1-2ubuntu1) ... Setting up libgcrypt20:arm64 (1.10.1-3ubuntu1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libstdc++6_13.1.0-7ubuntu1_arm64.deb ... Unpacking libstdc++6:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Setting up libstdc++6:arm64 (13.1.0-7ubuntu1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libacl1_2.3.1-3_arm64.deb ... Unpacking libacl1:arm64 (2.3.1-3) over (2.3.1-2) ... Setting up libacl1:arm64 (2.3.1-3) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libapparmor1_3.0.8-1ubuntu3_arm64.deb ... Unpacking libapparmor1:arm64 (3.0.8-1ubuntu3) over (3.0.8-1ubuntu1) ... Preparing to unpack .../libaudit-common_1%3a3.0.9-1_all.deb ... Unpacking libaudit-common (1:3.0.9-1) over (1:3.0.7-1ubuntu3) ... Setting up libaudit-common (1:3.0.9-1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libcap-ng0_0.8.3-1build2_arm64.deb ... Unpacking libcap-ng0:arm64 (0.8.3-1build2) over (0.8.3-1build1) ... Setting up libcap-ng0:arm64 (0.8.3-1build2) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libaudit1_1%3a3.0.9-1_arm64.deb ... Unpacking libaudit1:arm64 (1:3.0.9-1) over (1:3.0.7-1ubuntu3) ... Setting up libaudit1:arm64 (1:3.0.9-1) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libblkid1_2.38.1-5ubuntu2_arm64.deb ... Unpacking libblkid1:arm64 (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up libblkid1:arm64 (2.38.1-5ubuntu2) ... (Reading database ... 13124 files and directories currently installed.) Preparing to unpack .../libcap2_1%3a2.66-4ubuntu1_arm64.deb ... Unpacking libcap2:arm64 (1:2.66-4ubuntu1) over (1:2.44-1build3) ... Setting up libcap2:arm64 (1:2.66-4ubuntu1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libperl5.36_5.36.0-7ubuntu1_arm64.deb ... Unpacking libperl5.36:arm64 (5.36.0-7ubuntu1) over (5.36.0-4ubuntu2) ... Preparing to unpack .../perl_5.36.0-7ubuntu1_arm64.deb ... Unpacking perl (5.36.0-7ubuntu1) over (5.36.0-4ubuntu2) ... Preparing to unpack .../perl-base_5.36.0-7ubuntu1_arm64.deb ... Unpacking perl-base (5.36.0-7ubuntu1) over (5.36.0-4ubuntu2) ... Setting up perl-base (5.36.0-7ubuntu1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../perl-modules-5.36_5.36.0-7ubuntu1_all.deb ... Unpacking perl-modules-5.36 (5.36.0-7ubuntu1) over (5.36.0-4ubuntu2) ... Preparing to unpack .../libdb5.3_5.3.28+dfsg2-1_arm64.deb ... Unpacking libdb5.3:arm64 (5.3.28+dfsg2-1) over (5.3.28+dfsg1-0.10) ... Setting up libdb5.3:arm64 (5.3.28+dfsg2-1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../zlib1g_1%3a1.2.13.dfsg-1ubuntu4_arm64.deb ... Unpacking zlib1g:arm64 (1:1.2.13.dfsg-1ubuntu4) over (1:1.2.11.dfsg-4.1ubuntu1) ... Setting up zlib1g:arm64 (1:1.2.13.dfsg-1ubuntu4) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../debconf_1.5.82_all.deb ... Unpacking debconf (1.5.82) over (1.5.79ubuntu1) ... Setting up debconf (1.5.82) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libssl3_3.0.9-1ubuntu1_arm64.deb ... Unpacking libssl3:arm64 (3.0.9-1ubuntu1) over (3.0.5-2ubuntu2) ... Preparing to unpack .../libzstd1_1.5.5+dfsg2-1ubuntu1_arm64.deb ... Unpacking libzstd1:arm64 (1.5.5+dfsg2-1ubuntu1) over (1.5.2+dfsg-1) ... Setting up libzstd1:arm64 (1.5.5+dfsg2-1ubuntu1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libkmod2_30+20221128-1ubuntu1_arm64.deb ... Unpacking libkmod2:arm64 (30+20221128-1ubuntu1) over (30+20220905-1ubuntu1) ... Preparing to unpack .../libpcre2-8-0_10.42-1_arm64.deb ... Unpacking libpcre2-8-0:arm64 (10.42-1) over (10.40-1ubuntu1) ... Setting up libpcre2-8-0:arm64 (10.42-1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libselinux1_3.4-1build4_arm64.deb ... Unpacking libselinux1:arm64 (3.4-1build4) over (3.4-1build1) ... Setting up libselinux1:arm64 (3.4-1build4) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libmount1_2.38.1-5ubuntu2_arm64.deb ... Unpacking libmount1:arm64 (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up libmount1:arm64 (2.38.1-5ubuntu2) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libpam0g_1.5.2-6ubuntu1_arm64.deb ... Unpacking libpam0g:arm64 (1.5.2-6ubuntu1) over (1.5.2-5ubuntu1) ... Setting up libpam0g:arm64 (1.5.2-6ubuntu1) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../libseccomp2_2.5.4-1ubuntu3_arm64.deb ... Unpacking libseccomp2:arm64 (2.5.4-1ubuntu3) over (2.5.4-1ubuntu2) ... Setting up libseccomp2:arm64 (2.5.4-1ubuntu3) ... (Reading database ... 13126 files and directories currently installed.) Preparing to unpack .../systemd-sysv_252.5-2ubuntu3_arm64.deb ... Unpacking systemd-sysv (252.5-2ubuntu3) over (251.4-1ubuntu7) ... Setting up libssl3:arm64 (3.0.9-1ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../systemd_252.5-2ubuntu3_arm64.deb ... Unpacking systemd (252.5-2ubuntu3) over (251.4-1ubuntu7) ... Preparing to unpack .../libsystemd-shared_252.5-2ubuntu3_arm64.deb ... Unpacking libsystemd-shared:arm64 (252.5-2ubuntu3) over (251.4-1ubuntu7) ... Preparing to unpack .../libargon2-1_0~20190702+dfsg-3_arm64.deb ... Unpacking libargon2-1:arm64 (0~20190702+dfsg-3) over (0~20171227-0.3) ... Preparing to unpack .../libudev1_252.5-2ubuntu3_arm64.deb ... Unpacking libudev1:arm64 (252.5-2ubuntu3) over (251.4-1ubuntu7) ... Setting up libudev1:arm64 (252.5-2ubuntu3) ... (Reading database ... 13158 files and directories currently installed.) Preparing to unpack .../libdevmapper1.02.1_2%3a1.02.185-2ubuntu1_arm64.deb ... Unpacking libdevmapper1.02.1:arm64 (2:1.02.185-2ubuntu1) over (2:1.02.185-1ubuntu1) ... Preparing to unpack .../libuuid1_2.38.1-5ubuntu2_arm64.deb ... Unpacking libuuid1:arm64 (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up libuuid1:arm64 (2.38.1-5ubuntu2) ... (Reading database ... 13158 files and directories currently installed.) Preparing to unpack .../libcryptsetup12_2%3a2.6.1-4ubuntu1_arm64.deb ... Unpacking libcryptsetup12:arm64 (2:2.6.1-4ubuntu1) over (2:2.5.0-6ubuntu3) ... Preparing to unpack .../libfdisk1_2.38.1-5ubuntu2_arm64.deb ... Unpacking libfdisk1:arm64 (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Preparing to unpack .../libp11-kit0_0.24.1-2ubuntu1_arm64.deb ... Unpacking libp11-kit0:arm64 (0.24.1-2ubuntu1) over (0.24.1-1ubuntu2) ... Setting up libp11-kit0:arm64 (0.24.1-2ubuntu1) ... (Reading database ... 13158 files and directories currently installed.) Preparing to unpack .../mount_2.38.1-5ubuntu2_arm64.deb ... Unpacking mount (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Preparing to unpack .../libsystemd0_252.5-2ubuntu3_arm64.deb ... Unpacking libsystemd0:arm64 (252.5-2ubuntu3) over (251.4-1ubuntu7) ... Setting up libsystemd0:arm64 (252.5-2ubuntu3) ... (Reading database ... 13159 files and directories currently installed.) Preparing to unpack .../libapt-pkg6.0_2.7.1_arm64.deb ... Unpacking libapt-pkg6.0:arm64 (2.7.1) over (2.5.4) ... Setting up libapt-pkg6.0:arm64 (2.7.1) ... (Reading database ... 13159 files and directories currently installed.) Preparing to unpack .../tar_1.34+dfsg-1.2ubuntu1_arm64.deb ... Unpacking tar (1.34+dfsg-1.2ubuntu1) over (1.34+dfsg-1.1) ... Setting up tar (1.34+dfsg-1.2ubuntu1) ... (Reading database ... 13159 files and directories currently installed.) Preparing to unpack .../dpkg_1.21.22ubuntu1_arm64.deb ... Unpacking dpkg (1.21.22ubuntu1) over (1.21.11ubuntu2) ... Setting up dpkg (1.21.22ubuntu1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../dash_0.5.12-2ubuntu1_arm64.deb ... Unpacking dash (0.5.12-2ubuntu1) over (0.5.11+git20210903+057cd650a4ed-9ubuntu1) ... Setting up dash (0.5.12-2ubuntu1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../diffutils_1%3a3.8-4_arm64.deb ... Unpacking diffutils (1:3.8-4) over (1:3.8-1) ... Setting up diffutils (1:3.8-4) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../findutils_4.9.0-4ubuntu1_arm64.deb ... Unpacking findutils (4.9.0-4ubuntu1) over (4.9.0-3ubuntu1) ... Setting up findutils (4.9.0-4ubuntu1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../archives/grep_3.8-5_arm64.deb ... Unpacking grep (3.8-5) over (3.8-3) ... Setting up grep (3.8-5) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../hostname_3.23+nmu1ubuntu1_arm64.deb ... Unpacking hostname (3.23+nmu1ubuntu1) over (3.23ubuntu2) ... Setting up hostname (3.23+nmu1ubuntu1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../libncurses6_6.4+20230625-1_arm64.deb ... Unpacking libncurses6:arm64 (6.4+20230625-1) over (6.3+20220423-2) ... Preparing to unpack .../libncursesw6_6.4+20230625-1_arm64.deb ... Unpacking libncursesw6:arm64 (6.4+20230625-1) over (6.3+20220423-2) ... Preparing to unpack .../libtinfo6_6.4+20230625-1_arm64.deb ... Unpacking libtinfo6:arm64 (6.4+20230625-1) over (6.3+20220423-2) ... Setting up libtinfo6:arm64 (6.4+20230625-1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../ncurses-bin_6.4+20230625-1_arm64.deb ... Unpacking ncurses-bin (6.4+20230625-1) over (6.3+20220423-2) ... Setting up ncurses-bin (6.4+20230625-1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../archives/sed_4.9-1_arm64.deb ... Unpacking sed (4.9-1) over (4.8-1ubuntu2) ... Setting up sed (4.9-1) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../libsmartcols1_2.38.1-5ubuntu2_arm64.deb ... Unpacking libsmartcols1:arm64 (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up libsmartcols1:arm64 (2.38.1-5ubuntu2) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../util-linux-extra_2.38.1-5ubuntu2_arm64.deb ... Unpacking util-linux-extra (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up util-linux-extra (2.38.1-5ubuntu2) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../util-linux_2.38.1-5ubuntu2_arm64.deb ... Unpacking util-linux (2.38.1-5ubuntu2) over (2.38.1-4ubuntu1) ... Setting up util-linux (2.38.1-5ubuntu2) ... (Reading database ... 13162 files and directories currently installed.) Preparing to unpack .../ncurses-base_6.4+20230625-1_all.deb ... Unpacking ncurses-base (6.4+20230625-1) over (6.3+20220423-2) ... Setting up ncurses-base (6.4+20230625-1) ... (Reading database ... 13160 files and directories currently installed.) Preparing to unpack .../archives/lsb-base_11.6_all.deb ... Unpacking lsb-base (11.6) over (11.2ubuntu1) ... Preparing to unpack .../sysvinit-utils_3.06-4ubuntu1_arm64.deb ... Unpacking sysvinit-utils (3.06-4ubuntu1) over (3.04-1ubuntu1) ... Setting up sysvinit-utils (3.06-4ubuntu1) ... (Reading database ... 13160 files and directories currently installed.) Preparing to unpack .../adduser_3.134ubuntu1_all.deb ... moving unchanged adduser.conf to adduser.conf.update-old. New dpkg-conffile will come from the package. Unpacking adduser (3.134ubuntu1) over (3.121ubuntu1) ... Setting up adduser (3.134ubuntu1) ... Installing new version of config file /etc/deluser.conf ... (Reading database ... 13130 files and directories currently installed.) Preparing to unpack .../gpgv_2.2.40-1.1ubuntu1_arm64.deb ... Unpacking gpgv (2.2.40-1.1ubuntu1) over (2.2.40-1ubuntu1) ... Setting up gpgv (2.2.40-1.1ubuntu1) ... (Reading database ... 13130 files and directories currently installed.) Preparing to unpack .../libtasn1-6_4.19.0-3_arm64.deb ... Unpacking libtasn1-6:arm64 (4.19.0-3) over (4.19.0-2) ... Setting up libtasn1-6:arm64 (4.19.0-3) ... (Reading database ... 13130 files and directories currently installed.) Preparing to unpack .../libgnutls30_3.7.9-2ubuntu1_arm64.deb ... Unpacking libgnutls30:arm64 (3.7.9-2ubuntu1) over (3.7.7-2ubuntu2) ... Setting up libgnutls30:arm64 (3.7.9-2ubuntu1) ... (Reading database ... 13130 files and directories currently installed.) Preparing to unpack .../archives/apt_2.7.1_arm64.deb ... Unpacking apt (2.7.1) over (2.5.4) ... Setting up apt (2.7.1) ... Installing new version of config file /etc/apt/apt.conf.d/01autoremove ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libpam-modules-bin_1.5.2-6ubuntu1_arm64.deb ... Unpacking libpam-modules-bin (1.5.2-6ubuntu1) over (1.5.2-5ubuntu1) ... Setting up libpam-modules-bin (1.5.2-6ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libpam-modules_1.5.2-6ubuntu1_arm64.deb ... Unpacking libpam-modules:arm64 (1.5.2-6ubuntu1) over (1.5.2-5ubuntu1) ... Setting up libpam-modules:arm64 (1.5.2-6ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../logsave_1.47.0-1ubuntu2_arm64.deb ... Unpacking logsave (1.47.0-1ubuntu2) over (1.46.6~rc1-1ubuntu1) ... Preparing to unpack .../libext2fs2_1.47.0-1ubuntu2_arm64.deb ... Unpacking libext2fs2:arm64 (1.47.0-1ubuntu2) over (1.46.6~rc1-1ubuntu1) ... Setting up libext2fs2:arm64 (1.47.0-1ubuntu2) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../e2fsprogs_1.47.0-1ubuntu2_arm64.deb ... Unpacking e2fsprogs (1.47.0-1ubuntu2) over (1.46.6~rc1-1ubuntu1) ... Preparing to unpack .../libattr1_1%3a2.5.1-4_arm64.deb ... Unpacking libattr1:arm64 (1:2.5.1-4) over (1:2.5.1-3) ... Setting up libattr1:arm64 (1:2.5.1-4) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libdebconfclient0_0.267ubuntu1_arm64.deb ... Unpacking libdebconfclient0:arm64 (0.267ubuntu1) over (0.264ubuntu1) ... Setting up libdebconfclient0:arm64 (0.267ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libmd0_1.1.0-1_arm64.deb ... Unpacking libmd0:arm64 (1.1.0-1) over (1.0.4-2) ... Setting up libmd0:arm64 (1.1.0-1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libpam-runtime_1.5.2-6ubuntu1_all.deb ... Unpacking libpam-runtime (1.5.2-6ubuntu1) over (1.5.2-5ubuntu1) ... Setting up libpam-runtime (1.5.2-6ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libsemanage-common_3.4-1build4_all.deb ... Unpacking libsemanage-common (3.4-1build4) over (3.4-1build1) ... Setting up libsemanage-common (3.4-1build4) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libsepol2_3.4-2.1_arm64.deb ... Unpacking libsepol2:arm64 (3.4-2.1) over (3.4-2) ... Setting up libsepol2:arm64 (3.4-2.1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../libsemanage2_3.4-1build4_arm64.deb ... Unpacking libsemanage2:arm64 (3.4-1build4) over (3.4-1build1) ... Setting up libsemanage2:arm64 (3.4-1build4) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../usrmerge_35ubuntu1_all.deb ... Unpacking usrmerge (35ubuntu1) over (33ubuntu1) ... Setting up usrmerge (35ubuntu1) ... (Reading database ... 13127 files and directories currently installed.) Preparing to unpack .../00-libcom-err2_1.47.0-1ubuntu2_arm64.deb ... Unpacking libcom-err2:arm64 (1.47.0-1ubuntu2) over (1.46.6~rc1-1ubuntu1) ... Selecting previously unselected package libproc2-0:arm64. Preparing to unpack .../01-libproc2-0_2%3a4.0.3-1ubuntu1_arm64.deb ... Unpacking libproc2-0:arm64 (2:4.0.3-1ubuntu1) ... Preparing to unpack .../02-libss2_1.47.0-1ubuntu2_arm64.deb ... Unpacking libss2:arm64 (1.47.0-1ubuntu2) over (1.46.6~rc1-1ubuntu1) ... Preparing to unpack .../03-mawk_1.3.4.20230525-1_arm64.deb ... Unpacking mawk (1.3.4.20230525-1) over (1.3.4.20200120-3.1) ... Preparing to unpack .../04-procps_2%3a4.0.3-1ubuntu1_arm64.deb ... Unpacking procps (2:4.0.3-1ubuntu1) over (2:3.3.17-7ubuntu1) ... Preparing to unpack .../05-sensible-utils_0.0.20_all.deb ... Unpacking sensible-utils (0.0.20) over (0.0.17) ... Preparing to unpack .../06-openssl_3.0.9-1ubuntu1_arm64.deb ... Unpacking openssl (3.0.9-1ubuntu1) over (3.0.5-2ubuntu2) ... Preparing to unpack .../07-ca-certificates_20230311ubuntu1_all.deb ... Unpacking ca-certificates (20230311ubuntu1) over (20211016ubuntu1) ... Preparing to unpack .../08-libgssapi-krb5-2_1.20.1-2_arm64.deb ... Unpacking libgssapi-krb5-2:arm64 (1.20.1-2) over (1.20.1-1build1) ... Preparing to unpack .../09-libkrb5-3_1.20.1-2_arm64.deb ... Unpacking libkrb5-3:arm64 (1.20.1-2) over (1.20.1-1build1) ... Preparing to unpack .../10-libkrb5support0_1.20.1-2_arm64.deb ... Unpacking libkrb5support0:arm64 (1.20.1-2) over (1.20.1-1build1) ... Preparing to unpack .../11-libk5crypto3_1.20.1-2_arm64.deb ... Unpacking libk5crypto3:arm64 (1.20.1-2) over (1.20.1-1build1) ... Preparing to unpack .../12-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) over (8.2-1.2) ... Preparing to unpack .../13-libreadline8_8.2-1.3_arm64.deb ... Unpacking libreadline8:arm64 (8.2-1.3) over (8.2-1.2) ... Preparing to unpack .../14-libsqlite3-0_3.42.0-1_arm64.deb ... Unpacking libsqlite3-0:arm64 (3.42.0-1) over (3.40.0-1) ... Preparing to unpack .../15-tzdata_2023c-4exp1ubuntu1_all.deb ... Unpacking tzdata (2023c-4exp1ubuntu1) over (2022g-1ubuntu1) ... Preparing to unpack .../16-libpng16-16_1.6.40-1_arm64.deb ... Unpacking libpng16-16:arm64 (1.6.40-1) over (1.6.39-2) ... Preparing to unpack .../17-xz-utils_5.4.1-0.2_arm64.deb ... Unpacking xz-utils (5.4.1-0.2) over (5.2.9-0.0) ... Preparing to unpack .../18-advancecomp_2.5-1_arm64.deb ... Unpacking advancecomp (2.5-1) over (2.4-1) ... Preparing to unpack .../19-libgprofng0_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking libgprofng0:arm64 (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../20-libctf0_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking libctf0:arm64 (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../21-libctf-nobfd0_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking libctf-nobfd0:arm64 (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../22-binutils-aarch64-linux-gnu_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking binutils-aarch64-linux-gnu (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../23-libbinutils_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking libbinutils:arm64 (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../24-binutils_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking binutils (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Preparing to unpack .../25-binutils-common_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking binutils-common:arm64 (2.40.50.20230701-0ubuntu1) over (2.39.50.20221224-1ubuntu1) ... Selecting previously unselected package libsframe1:arm64. Preparing to unpack .../26-libsframe1_2.40.50.20230701-0ubuntu1_arm64.deb ... Unpacking libsframe1:arm64 (2.40.50.20230701-0ubuntu1) ... Preparing to unpack .../27-libubsan1_13.1.0-7ubuntu1_arm64.deb ... Unpacking libubsan1:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../28-g++-12_12.3.0-3ubuntu1_arm64.deb ... Unpacking g++-12 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../29-libstdc++-12-dev_12.3.0-3ubuntu1_arm64.deb ... Unpacking libstdc++-12-dev:arm64 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../30-libgomp1_13.1.0-7ubuntu1_arm64.deb ... Unpacking libgomp1:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../31-libitm1_13.1.0-7ubuntu1_arm64.deb ... Unpacking libitm1:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../32-libatomic1_13.1.0-7ubuntu1_arm64.deb ... Unpacking libatomic1:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../33-libasan8_13.1.0-7ubuntu1_arm64.deb ... Unpacking libasan8:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../34-liblsan0_13.1.0-7ubuntu1_arm64.deb ... Unpacking liblsan0:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../35-libhwasan0_13.1.0-7ubuntu1_arm64.deb ... Unpacking libhwasan0:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../36-gcc-12_12.3.0-3ubuntu1_arm64.deb ... Unpacking gcc-12 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../37-libgcc-12-dev_12.3.0-3ubuntu1_arm64.deb ... Unpacking libgcc-12-dev:arm64 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../38-libtsan2_13.1.0-7ubuntu1_arm64.deb ... Unpacking libtsan2:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../39-libcc1-0_13.1.0-7ubuntu1_arm64.deb ... Unpacking libcc1-0:arm64 (13.1.0-7ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../40-cpp-12_12.3.0-3ubuntu1_arm64.deb ... Unpacking cpp-12 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../41-gcc-12-base_12.3.0-3ubuntu1_arm64.deb ... Unpacking gcc-12-base:arm64 (12.3.0-3ubuntu1) over (12.2.0-10ubuntu1) ... Preparing to unpack .../42-libisl23_0.26-3_arm64.deb ... Unpacking libisl23:arm64 (0.26-3) over (0.25-1) ... Preparing to unpack .../43-libmpfr6_4.2.0-1_arm64.deb ... Unpacking libmpfr6:arm64 (4.2.0-1) over (4.1.0-3build3) ... Preparing to unpack .../44-g++_4%3a12.3.0-1ubuntu2_arm64.deb ... Unpacking g++ (4:12.3.0-1ubuntu2) over (4:12.2.0-1ubuntu1) ... Preparing to unpack .../45-gcc_4%3a12.3.0-1ubuntu2_arm64.deb ... Unpacking gcc (4:12.3.0-1ubuntu2) over (4:12.2.0-1ubuntu1) ... Preparing to unpack .../46-cpp_4%3a12.3.0-1ubuntu2_arm64.deb ... Unpacking cpp (4:12.3.0-1ubuntu2) over (4:12.2.0-1ubuntu1) ... Preparing to unpack .../47-dpkg-dev_1.21.22ubuntu1_all.deb ... Unpacking dpkg-dev (1.21.22ubuntu1) over (1.21.11ubuntu2) ... Preparing to unpack .../48-libdpkg-perl_1.21.22ubuntu1_all.deb ... Unpacking libdpkg-perl (1.21.22ubuntu1) over (1.21.11ubuntu2) ... Preparing to unpack .../49-lto-disabled-list_41_all.deb ... Unpacking lto-disabled-list (41) over (37) ... Preparing to unpack .../50-build-essential_12.10ubuntu1_arm64.deb ... Unpacking build-essential (12.10ubuntu1) over (12.9ubuntu3) ... Preparing to unpack .../51-libfakeroot_1.31-1.2_arm64.deb ... Unpacking libfakeroot:arm64 (1.31-1.2) over (1.30.1-1ubuntu1) ... Preparing to unpack .../52-fakeroot_1.31-1.2_arm64.deb ... Unpacking fakeroot (1.31-1.2) over (1.30.1-1ubuntu1) ... Preparing to unpack .../53-gpg_2.2.40-1.1ubuntu1_arm64.deb ... Unpacking gpg (2.2.40-1.1ubuntu1) over (2.2.40-1ubuntu1) ... Preparing to unpack .../54-gpgconf_2.2.40-1.1ubuntu1_arm64.deb ... Unpacking gpgconf (2.2.40-1.1ubuntu1) over (2.2.40-1ubuntu1) ... Preparing to unpack .../55-gpg-agent_2.2.40-1.1ubuntu1_arm64.deb ... Unpacking gpg-agent (2.2.40-1.1ubuntu1) over (2.2.40-1ubuntu1) ... Preparing to unpack .../56-pkgbinarymangler_153_all.deb ... Unpacking pkgbinarymangler (153) over (149) ... Setting up lsb-base (11.6) ... Setting up lto-disabled-list (41) ... Setting up libapparmor1:arm64 (3.0.8-1ubuntu3) ... Setting up libargon2-1:arm64 (0~20190702+dfsg-3) ... Setting up libsqlite3-0:arm64 (3.42.0-1) ... Setting up binutils-common:arm64 (2.40.50.20230701-0ubuntu1) ... Installing new version of config file /etc/gprofng.rc ... Setting up linux-libc-dev:arm64 (6.3.0-7.7) ... Setting up libctf-nobfd0:arm64 (2.40.50.20230701-0ubuntu1) ... Setting up libcom-err2:arm64 (1.47.0-1ubuntu2) ... Setting up libgomp1:arm64 (13.1.0-7ubuntu1) ... Setting up libsframe1:arm64 (2.40.50.20230701-0ubuntu1) ... Setting up libfakeroot:arm64 (1.31-1.2) ... Setting up libkrb5support0:arm64 (1.20.1-2) ... Setting up gcc-12-base:arm64 (12.3.0-3ubuntu1) ... Setting up tzdata (2023c-4exp1ubuntu1) ... Current default time zone: 'Etc/UTC' Local time is now: Mon Jul 3 06:09:14 UTC 2023. Universal Time is now: Mon Jul 3 06:09:14 UTC 2023. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up fakeroot (1.31-1.2) ... Setting up perl-modules-5.36 (5.36.0-7ubuntu1) ... Setting up libmpfr6:arm64 (4.2.0-1) ... Setting up libncurses6:arm64 (6.4+20230625-1) ... Setting up xz-utils (5.4.1-0.2) ... Setting up libproc2-0:arm64 (2:4.0.3-1ubuntu1) ... Setting up libpng16-16:arm64 (1.6.40-1) ... Setting up libatomic1:arm64 (13.1.0-7ubuntu1) ... Setting up libss2:arm64 (1.47.0-1ubuntu2) ... Setting up libncursesw6:arm64 (6.4+20230625-1) ... Setting up libk5crypto3:arm64 (1.20.1-2) ... Setting up logsave (1.47.0-1ubuntu2) ... Setting up libfdisk1:arm64 (2.38.1-5ubuntu2) ... Setting up libubsan1:arm64 (13.1.0-7ubuntu1) ... Setting up advancecomp (2.5-1) ... Setting up libdevmapper1.02.1:arm64 (2:1.02.185-2ubuntu1) ... Setting up mount (2.38.1-5ubuntu2) ... Setting up sensible-utils (0.0.20) ... Setting up libhwasan0:arm64 (13.1.0-7ubuntu1) ... Setting up libcrypt-dev:arm64 (1:4.4.35-1) ... Setting up libasan8:arm64 (13.1.0-7ubuntu1) ... Setting up procps (2:4.0.3-1ubuntu1) ... Setting up libcryptsetup12:arm64 (2:2.6.1-4ubuntu1) ... Setting up mawk (1.3.4.20230525-1) ... Setting up libkrb5-3:arm64 (1.20.1-2) ... Setting up libtsan2:arm64 (13.1.0-7ubuntu1) ... Setting up libbinutils:arm64 (2.40.50.20230701-0ubuntu1) ... Setting up libisl23:arm64 (0.26-3) ... Setting up libc-dev-bin (2.37-0ubuntu2) ... Setting up openssl (3.0.9-1ubuntu1) ... Installing new version of config file /etc/ssl/openssl.cnf ... Setting up readline-common (8.2-1.3) ... Setting up libcc1-0:arm64 (13.1.0-7ubuntu1) ... Setting up libperl5.36:arm64 (5.36.0-7ubuntu1) ... Setting up liblsan0:arm64 (13.1.0-7ubuntu1) ... Setting up libitm1:arm64 (13.1.0-7ubuntu1) ... Setting up libkmod2:arm64 (30+20221128-1ubuntu1) ... Setting up libctf0:arm64 (2.40.50.20230701-0ubuntu1) ... Setting up cpp-12 (12.3.0-3ubuntu1) ... Setting up pkgbinarymangler (153) ... Setting up libreadline8:arm64 (8.2-1.3) ... Setting up e2fsprogs (1.47.0-1ubuntu2) ... Setting up ca-certificates (20230311ubuntu1) ... Updating certificates in /etc/ssl/certs... rehash: warning: skipping ca-certificates.crt,it does not contain exactly one certificate or CRL 19 added, 6 removed; done. Setting up perl (5.36.0-7ubuntu1) ... Setting up libgprofng0:arm64 (2.40.50.20230701-0ubuntu1) ... Setting up libgcc-12-dev:arm64 (12.3.0-3ubuntu1) ... Setting up libgssapi-krb5-2:arm64 (1.20.1-2) ... Setting up libsystemd-shared:arm64 (252.5-2ubuntu3) ... Setting up libdpkg-perl (1.21.22ubuntu1) ... Setting up cpp (4:12.3.0-1ubuntu2) ... Setting up gpgconf (2.2.40-1.1ubuntu1) ... Setting up libc6-dev:arm64 (2.37-0ubuntu2) ... Setting up gpg (2.2.40-1.1ubuntu1) ... Setting up gpg-agent (2.2.40-1.1ubuntu1) ... Setting up libstdc++-12-dev:arm64 (12.3.0-3ubuntu1) ... Setting up binutils-aarch64-linux-gnu (2.40.50.20230701-0ubuntu1) ... Setting up systemd (252.5-2ubuntu3) ... Installing new version of config file /etc/systemd/logind.conf ... Installing new version of config file /etc/systemd/system.conf ... Installing new version of config file /etc/systemd/user.conf ... Initializing machine ID from random generator. Setting up binutils (2.40.50.20230701-0ubuntu1) ... Setting up dpkg-dev (1.21.22ubuntu1) ... Setting up gcc-12 (12.3.0-3ubuntu1) ... Setting up g++-12 (12.3.0-3ubuntu1) ... Setting up systemd-sysv (252.5-2ubuntu3) ... Setting up gcc (4:12.3.0-1ubuntu2) ... Setting up g++ (4:12.3.0-1ubuntu2) ... Setting up build-essential (12.10ubuntu1) ... Processing triggers for libc-bin (2.37-0ubuntu2) ... Processing triggers for debianutils (5.7-0.4) ... Processing triggers for ca-certificates (20230311ubuntu1) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. RUN: /usr/share/launchpad-buildd/bin/sbuild-package PACKAGEBUILD-26382441 arm64 mantic-proposed -c chroot:build-PACKAGEBUILD-26382441 --arch=arm64 --dist=mantic-proposed --nolog verilator_5.012-1.dsc Initiating build PACKAGEBUILD-26382441 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 5.4.0-153-generic #170-Ubuntu SMP Fri Jun 16 13:46:00 UTC 2023 aarch64 sbuild (Debian sbuild) 0.79.0 (05 February 2020) on bos02-arm64-008.buildd +==============================================================================+ | verilator 5.012-1 (arm64) Mon, 03 Jul 2023 06:09:20 +0000 | +==============================================================================+ Package: verilator Version: 5.012-1 Source Version: 5.012-1 Distribution: mantic-proposed Machine Architecture: arm64 Host Architecture: arm64 Build Architecture: arm64 Build Type: any I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-26382441/chroot-autobuild' with '<>' I: NOTICE: Log filtering will replace 'build/verilator-xL5W4F/resolver-Ff0dUO' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- verilator_5.012-1.dsc exists in .; copying to chroot I: NOTICE: Log filtering will replace 'build/verilator-xL5W4F/verilator-5.012' with '<>' I: NOTICE: Log filtering will replace 'build/verilator-xL5W4F' with '<>' +------------------------------------------------------------------------------+ | Install package build dependencies | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev, help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra, build-essential, fakeroot Filtered Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev, help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra, build-essential, fakeroot dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<>/apt_archive/sbuild-build-depends-main-dummy.deb'. Ign:1 copy:/<>/apt_archive ./ InRelease Get:2 copy:/<>/apt_archive ./ Release [957 B] Ign:3 copy:/<>/apt_archive ./ Release.gpg Get:4 copy:/<>/apt_archive ./ Sources [480 B] Get:5 copy:/<>/apt_archive ./ Packages [537 B] Fetched 1974 B in 0s (86.8 kB/s) Reading package lists... Reading package lists... Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following additional packages will be installed: autoconf automake autopoint autotools-dev bison bsdextrautils debhelper debugedit dh-autoreconf dh-strip-nondeterminism docutils-common dwz file flex fontconfig-config fonts-dejavu-core fonts-font-awesome fonts-lato fonts-lmodern gettext gettext-base groff-base help2man intltool-debian latexmk libapache-pom-java libarchive-zip-perl libbrotli1 libbsd0 libcairo2 libcommons-logging-java libcommons-parent-java libdebhelper-perl libdw1 libelf1 libexpat1 libfile-stripnondeterminism-perl libfl-dev libfl2 libfontbox-java libfontconfig1 libfontenc1 libfreetype6 libglib2.0-0 libgraphite2-3 libharfbuzz0b libice6 libicu72 libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6 liblocale-gettext-perl libmagic-mgc libmagic1 libpaper-utils libpaper1 libpdfbox-java libpipeline1 libpixman-1-0 libptexenc1 libpython3-stdlib libpython3.11-minimal libpython3.11-stdlib libsm6 libsub-override-perl libsynctex2 libsystemc libsystemc-dev libteckit0 libtexlua53-5 libtool libuchardet0 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0 libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6 libzzip-0-13 m4 man-db media-types po-debconf preview-latex-style python-babel-localedata python3 python3-alabaster python3-babel python3-certifi python3-chardet python3-distutils python3-docutils python3-idna python3-imagesize python3-jinja2 python3-lib2to3 python3-markupsafe python3-minimal python3-packaging python3-pkg-resources python3-pygments python3-requests python3-roman python3-six python3-snowballstemmer python3-sphinx python3-sphinx-rtd-theme python3-sphinxcontrib.jquery python3-tz python3-urllib3 python3.11 python3.11-minimal sgml-base sphinx-common sphinx-rtd-theme-common t1utils tex-common tex-gyre texlive-base texlive-binaries texlive-latex-base texlive-latex-extra texlive-latex-recommended texlive-pictures ucf x11-common xdg-utils xfonts-encodings xfonts-utils xml-core Suggested packages: autoconf-archive gnu-standards autoconf-doc bison-doc dh-make flex-doc gettext-doc libasprintf-dev libgettextpo-dev groff ghostscript libavalon-framework-java libcommons-logging-java-doc libexcalibur-logkit-java liblog4j1.2-java low-memory-monitor libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc apparmor less www-browser libmail-box-perl python3-doc python3-tk python3-venv docutils-doc fonts-linuxlibertine | ttf-linux-libertine texlive-lang-french python-jinja2-doc python3-setuptools python-pygments-doc ttf-bitstream-vera python3-cryptography python3-openssl python3-socks python-requests-doc python3-stemmer dvipng fonts-freefont-otf imagemagick-6.q16 libjs-mathjax sphinx-doc texlive-fonts-recommended texlive-plain-generic python3-brotli python3.11-venv python3.11-doc binfmt-support sgml-base-doc gv | postscript-viewer perl-tk xpdf | pdf-viewer xzdec texlive-latex-base-doc wp2latex icc-profiles libfile-which-perl texlive-latex-extra-doc texlive-science texlive-latex-recommended-doc texlive-luatex texlive-pstricks dot2tex prerex texlive-pictures-doc vprerex Recommended packages: curl | wget | lynx xpdf | pdf-viewer gv | postscript-viewer libarchive-cpio-perl libglib2.0-data shared-mime-info xdg-user-dirs javascript-common libjson-xs-perl libltdl-dev libmail-sendmail-perl python3-pil fonts-texgyre fonts-texgyre-math lmodern dvisvgm default-jre libspreadsheet-parseexcel-perl texlive-fonts-recommended texlive-plain-generic ruby tk libfile-mimeinfo-perl libnet-dbus-perl libx11-protocol-perl x11-utils x11-xserver-utils The following NEW packages will be installed: autoconf automake autopoint autotools-dev bison bsdextrautils debhelper debugedit dh-autoreconf dh-strip-nondeterminism docutils-common dwz file flex fontconfig-config fonts-dejavu-core fonts-font-awesome fonts-lato fonts-lmodern gettext gettext-base groff-base help2man intltool-debian latexmk libapache-pom-java libarchive-zip-perl libbrotli1 libbsd0 libcairo2 libcommons-logging-java libcommons-parent-java libdebhelper-perl libdw1 libelf1 libexpat1 libfile-stripnondeterminism-perl libfl-dev libfl2 libfontbox-java libfontconfig1 libfontenc1 libfreetype6 libglib2.0-0 libgraphite2-3 libharfbuzz0b libice6 libicu72 libjs-jquery libjs-sphinxdoc libjs-underscore libjson-perl libkpathsea6 liblocale-gettext-perl libmagic-mgc libmagic1 libpaper-utils libpaper1 libpdfbox-java libpipeline1 libpixman-1-0 libptexenc1 libpython3-stdlib libpython3.11-minimal libpython3.11-stdlib libsm6 libsub-override-perl libsynctex2 libsystemc libsystemc-dev libteckit0 libtexlua53-5 libtool libuchardet0 libx11-6 libx11-data libxau6 libxaw7 libxcb-render0 libxcb-shm0 libxcb1 libxdmcp6 libxext6 libxi6 libxml2 libxmu6 libxpm4 libxrender1 libxt6 libzzip-0-13 m4 man-db media-types po-debconf preview-latex-style python-babel-localedata python3 python3-alabaster python3-babel python3-certifi python3-chardet python3-distutils python3-docutils python3-idna python3-imagesize python3-jinja2 python3-lib2to3 python3-markupsafe python3-minimal python3-packaging python3-pkg-resources python3-pygments python3-requests python3-roman python3-six python3-snowballstemmer python3-sphinx python3-sphinx-rtd-theme python3-sphinxcontrib.jquery python3-tz python3-urllib3 python3.11 python3.11-minimal sbuild-build-depends-main-dummy sgml-base sphinx-common sphinx-rtd-theme-common t1utils tex-common tex-gyre texlive-base texlive-binaries texlive-latex-base texlive-latex-extra texlive-latex-recommended texlive-pictures ucf x11-common xdg-utils xfonts-encodings xfonts-utils xml-core 0 upgraded, 142 newly installed, 0 to remove and 0 not upgraded. Need to get 141 MB of archives. After this operation, 513 MB of additional disk space will be used. Get:1 copy:/<>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [756 B] Get:2 http://ftpmaster.internal/ubuntu mantic/main arm64 liblocale-gettext-perl arm64 1.07-5 [15.1 kB] Get:3 http://ftpmaster.internal/ubuntu mantic/main arm64 libpython3.11-minimal arm64 3.11.4-1 [834 kB] Get:4 http://ftpmaster.internal/ubuntu mantic/main arm64 libexpat1 arm64 2.5.0-2 [72.2 kB] Get:5 http://ftpmaster.internal/ubuntu mantic/main arm64 python3.11-minimal arm64 3.11.4-1 [2152 kB] Get:6 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-minimal arm64 3.11.4-1 [26.6 kB] Get:7 http://ftpmaster.internal/ubuntu mantic/main arm64 media-types all 10.0.0 [25.8 kB] Get:8 http://ftpmaster.internal/ubuntu mantic/main arm64 libpython3.11-stdlib arm64 3.11.4-1 [1880 kB] Get:9 http://ftpmaster.internal/ubuntu mantic/main arm64 python3.11 arm64 3.11.4-1 [572 kB] Get:10 http://ftpmaster.internal/ubuntu mantic/main arm64 libpython3-stdlib arm64 3.11.4-1 [9334 B] Get:11 http://ftpmaster.internal/ubuntu mantic/main arm64 python3 arm64 3.11.4-1 [22.9 kB] Get:12 http://ftpmaster.internal/ubuntu mantic/main arm64 m4 arm64 1.4.19-3 [239 kB] Get:13 http://ftpmaster.internal/ubuntu mantic/main arm64 flex arm64 2.6.4-8.2 [291 kB] Get:14 http://ftpmaster.internal/ubuntu mantic/main arm64 fonts-lato all 2.0-2.1 [2696 kB] Get:15 http://ftpmaster.internal/ubuntu mantic/main arm64 sgml-base all 1.31 [11.4 kB] Get:16 http://ftpmaster.internal/ubuntu mantic/main arm64 libbsd0 arm64 0.11.7-4 [40.8 kB] Get:17 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libelf1 arm64 0.189-3 [56.2 kB] Get:18 http://ftpmaster.internal/ubuntu mantic/main arm64 libglib2.0-0 arm64 2.76.3-1ubuntu1 [1490 kB] Get:19 http://ftpmaster.internal/ubuntu mantic/main arm64 libicu72 arm64 72.1-3ubuntu2 [10.7 MB] Get:20 http://ftpmaster.internal/ubuntu mantic/main arm64 libxml2 arm64 2.9.14+dfsg-1.2 [723 kB] Get:21 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-pkg-resources all 67.8.0-1 [161 kB] Get:22 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-pygments all 2.15.1+dfsg-1 [820 kB] Get:23 http://ftpmaster.internal/ubuntu mantic/main arm64 ucf all 3.0043+nmu1 [56.5 kB] Get:24 http://ftpmaster.internal/ubuntu mantic/main arm64 bsdextrautils arm64 2.38.1-5ubuntu2 [68.8 kB] Get:25 http://ftpmaster.internal/ubuntu mantic/main arm64 libmagic-mgc arm64 1:5.44-3 [293 kB] Get:26 http://ftpmaster.internal/ubuntu mantic/main arm64 libmagic1 arm64 1:5.44-3 [84.3 kB] Get:27 http://ftpmaster.internal/ubuntu mantic/main arm64 file arm64 1:5.44-3 [21.8 kB] Get:28 http://ftpmaster.internal/ubuntu mantic/main arm64 gettext-base arm64 0.21-12 [37.0 kB] Get:29 http://ftpmaster.internal/ubuntu mantic/main arm64 libuchardet0 arm64 0.0.7-1build2 [77.3 kB] Get:30 http://ftpmaster.internal/ubuntu mantic/main arm64 groff-base arm64 1.22.4-10 [899 kB] Get:31 http://ftpmaster.internal/ubuntu mantic/main arm64 libpipeline1 arm64 1.5.7-1 [23.3 kB] Get:32 http://ftpmaster.internal/ubuntu mantic/main arm64 libxau6 arm64 1:1.0.9-1build5 [7624 B] Get:33 http://ftpmaster.internal/ubuntu mantic/main arm64 libxdmcp6 arm64 1:1.1.3-0ubuntu5 [10.8 kB] Get:34 http://ftpmaster.internal/ubuntu mantic/main arm64 libxcb1 arm64 1.15-1 [47.6 kB] Get:35 http://ftpmaster.internal/ubuntu mantic/main arm64 libx11-data all 2:1.8.6-1 [115 kB] Get:36 http://ftpmaster.internal/ubuntu mantic/main arm64 libx11-6 arm64 2:1.8.6-1 [643 kB] Get:37 http://ftpmaster.internal/ubuntu mantic/main arm64 libxext6 arm64 2:1.3.4-1build1 [31.1 kB] Get:38 http://ftpmaster.internal/ubuntu mantic/main arm64 man-db arm64 2.11.2-2 [1215 kB] Get:39 http://ftpmaster.internal/ubuntu mantic/main arm64 autoconf all 2.71-3 [339 kB] Get:40 http://ftpmaster.internal/ubuntu mantic/main arm64 autotools-dev all 20220109.1 [44.9 kB] Get:41 http://ftpmaster.internal/ubuntu mantic/main arm64 automake all 1:1.16.5-1.3 [558 kB] Get:42 http://ftpmaster.internal/ubuntu mantic/main arm64 autopoint all 0.21-12 [422 kB] Get:43 http://ftpmaster.internal/ubuntu mantic/main arm64 bison arm64 2:3.8.2+dfsg-1build1 [739 kB] Get:44 http://ftpmaster.internal/ubuntu mantic/main arm64 libdebhelper-perl all 13.11.4ubuntu3 [66.1 kB] Get:45 http://ftpmaster.internal/ubuntu mantic/main arm64 libtool all 2.4.7-5 [166 kB] Get:46 http://ftpmaster.internal/ubuntu mantic/main arm64 dh-autoreconf all 20 [16.1 kB] Get:47 http://ftpmaster.internal/ubuntu mantic/main arm64 libarchive-zip-perl all 1.68-1 [90.2 kB] Get:48 http://ftpmaster.internal/ubuntu mantic/main arm64 libsub-override-perl all 0.09-4 [8706 B] Get:49 http://ftpmaster.internal/ubuntu mantic/main arm64 libfile-stripnondeterminism-perl all 1.13.1-1 [18.1 kB] Get:50 http://ftpmaster.internal/ubuntu mantic/main arm64 dh-strip-nondeterminism all 1.13.1-1 [5362 B] Get:51 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 libdw1 arm64 0.189-3 [253 kB] Get:52 http://ftpmaster.internal/ubuntu mantic/main arm64 debugedit arm64 1:5.0-5 [45.9 kB] Get:53 http://ftpmaster.internal/ubuntu mantic/main arm64 dwz arm64 0.15-1 [110 kB] Get:54 http://ftpmaster.internal/ubuntu mantic/main arm64 gettext arm64 0.21-12 [842 kB] Get:55 http://ftpmaster.internal/ubuntu mantic/main arm64 intltool-debian all 0.35.0+20060710.6 [23.2 kB] Get:56 http://ftpmaster.internal/ubuntu mantic/main arm64 po-debconf all 1.0.21+nmu1 [233 kB] Get:57 http://ftpmaster.internal/ubuntu mantic/main arm64 debhelper all 13.11.4ubuntu3 [925 kB] Get:58 http://ftpmaster.internal/ubuntu mantic/main arm64 xml-core all 0.18+nmu1 [21.6 kB] Get:59 http://ftpmaster.internal/ubuntu mantic/main arm64 docutils-common all 0.19+dfsg-6 [129 kB] Get:60 http://ftpmaster.internal/ubuntu mantic/main arm64 fonts-dejavu-core all 2.37-6 [1137 kB] Get:61 http://ftpmaster.internal/ubuntu mantic/main arm64 fontconfig-config arm64 2.14.1-4ubuntu1 [35.0 kB] Get:62 http://ftpmaster.internal/ubuntu mantic/main arm64 fonts-font-awesome all 5.0.10+really4.7.0~dfsg-4.1 [516 kB] Get:63 http://ftpmaster.internal/ubuntu mantic/universe arm64 fonts-lmodern all 2.005-1 [4799 kB] Get:64 http://ftpmaster.internal/ubuntu mantic/universe arm64 help2man arm64 1.49.3 [201 kB] Get:65 http://ftpmaster.internal/ubuntu mantic/universe arm64 tex-common all 6.18 [32.8 kB] Get:66 http://ftpmaster.internal/ubuntu mantic/main arm64 libpaper1 arm64 1.1.29 [13.1 kB] Get:67 http://ftpmaster.internal/ubuntu mantic/main arm64 libpaper-utils arm64 1.1.29 [8480 B] Get:68 http://ftpmaster.internal/ubuntu mantic/main arm64 libkpathsea6 arm64 2022.20220321.62855-7 [60.7 kB] Get:69 http://ftpmaster.internal/ubuntu mantic/main arm64 libptexenc1 arm64 2022.20220321.62855-7 [37.5 kB] Get:70 http://ftpmaster.internal/ubuntu mantic/main arm64 libsynctex2 arm64 2022.20220321.62855-7 [56.5 kB] Get:71 http://ftpmaster.internal/ubuntu mantic/main arm64 libtexlua53-5 arm64 2022.20220321.62855-7 [117 kB] Get:72 http://ftpmaster.internal/ubuntu mantic/main arm64 t1utils arm64 1.41-4build2 [61.5 kB] Get:73 http://ftpmaster.internal/ubuntu mantic/main arm64 libbrotli1 arm64 1.0.9-2build8 [312 kB] Get:74 http://ftpmaster.internal/ubuntu mantic/main arm64 libfreetype6 arm64 2.12.1+dfsg-5 [384 kB] Get:75 http://ftpmaster.internal/ubuntu mantic/main arm64 libfontconfig1 arm64 2.14.1-4ubuntu1 [138 kB] Get:76 http://ftpmaster.internal/ubuntu mantic/main arm64 libpixman-1-0 arm64 0.42.2-1 [193 kB] Get:77 http://ftpmaster.internal/ubuntu mantic/main arm64 libxcb-render0 arm64 1.15-1 [16.1 kB] Get:78 http://ftpmaster.internal/ubuntu mantic/main arm64 libxcb-shm0 arm64 1.15-1 [5780 B] Get:79 http://ftpmaster.internal/ubuntu mantic/main arm64 libxrender1 arm64 1:0.9.10-1.1 [19.1 kB] Get:80 http://ftpmaster.internal/ubuntu mantic/main arm64 libcairo2 arm64 1.16.0-7 [501 kB] Get:81 http://ftpmaster.internal/ubuntu mantic/main arm64 libgraphite2-3 arm64 1.3.14-1build2 [68.9 kB] Get:82 http://ftpmaster.internal/ubuntu mantic/main arm64 libharfbuzz0b arm64 6.0.0+dfsg-3build1 [409 kB] Get:83 http://ftpmaster.internal/ubuntu mantic/universe arm64 libteckit0 arm64 2.5.11+ds1-1 [409 kB] Get:84 http://ftpmaster.internal/ubuntu mantic/main arm64 x11-common all 1:7.7+23ubuntu2 [23.4 kB] Get:85 http://ftpmaster.internal/ubuntu mantic/main arm64 libice6 arm64 2:1.0.10-1build2 [41.7 kB] Get:86 http://ftpmaster.internal/ubuntu mantic/main arm64 libsm6 arm64 2:1.2.3-1build2 [16.1 kB] Get:87 http://ftpmaster.internal/ubuntu mantic/main arm64 libxt6 arm64 1:1.2.1-1.1 [167 kB] Get:88 http://ftpmaster.internal/ubuntu mantic/main arm64 libxmu6 arm64 2:1.1.3-3 [48.9 kB] Get:89 http://ftpmaster.internal/ubuntu mantic/main arm64 libxpm4 arm64 1:3.5.12-1.1 [34.5 kB] Get:90 http://ftpmaster.internal/ubuntu mantic/main arm64 libxaw7 arm64 2:1.0.14-1 [184 kB] Get:91 http://ftpmaster.internal/ubuntu mantic/main arm64 libxi6 arm64 2:1.8-1build1 [31.6 kB] Get:92 http://ftpmaster.internal/ubuntu mantic/universe arm64 libzzip-0-13 arm64 0.13.72+dfsg.1-1.1 [26.6 kB] Get:93 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-binaries arm64 2022.20220321.62855-7 [8605 kB] Get:94 http://ftpmaster.internal/ubuntu mantic/main arm64 xdg-utils all 1.1.3-4.1ubuntu3 [62.0 kB] Get:95 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-base all 2022.20230122-3 [21.7 MB] Get:96 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-latex-base all 2022.20230122-3 [1165 kB] Get:97 http://ftpmaster.internal/ubuntu mantic/universe arm64 latexmk all 1:4.80-1 [190 kB] Get:98 http://ftpmaster.internal/ubuntu mantic/universe arm64 libapache-pom-java all 29-2 [5284 B] Get:99 http://ftpmaster.internal/ubuntu mantic/universe arm64 libcommons-parent-java all 56-1 [10.7 kB] Get:100 http://ftpmaster.internal/ubuntu mantic/universe arm64 libcommons-logging-java all 1.2-3 [59.9 kB] Get:101 http://ftpmaster.internal/ubuntu mantic/main arm64 libfl2 arm64 2.6.4-8.2 [9498 B] Get:102 http://ftpmaster.internal/ubuntu mantic/main arm64 libfl-dev arm64 2.6.4-8.2 [6214 B] Get:103 http://ftpmaster.internal/ubuntu mantic/main arm64 libfontenc1 arm64 1:1.1.4-1build3 [14.1 kB] Get:104 http://ftpmaster.internal/ubuntu mantic/main arm64 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [328 kB] Get:105 http://ftpmaster.internal/ubuntu mantic/main arm64 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [118 kB] Get:106 http://ftpmaster.internal/ubuntu mantic/main arm64 libjs-sphinxdoc all 5.3.0-4 [130 kB] Get:107 http://ftpmaster.internal/ubuntu mantic/main arm64 libjson-perl all 4.10000-1 [81.9 kB] Get:108 http://ftpmaster.internal/ubuntu mantic/universe arm64 libsystemc arm64 2.3.4-3 [438 kB] Get:109 http://ftpmaster.internal/ubuntu mantic/universe arm64 libsystemc-dev arm64 2.3.4-3 [241 kB] Get:110 http://ftpmaster.internal/ubuntu mantic/universe arm64 preview-latex-style all 12.2-1ubuntu1 [185 kB] Get:111 http://ftpmaster.internal/ubuntu mantic/main arm64 python-babel-localedata all 2.10.3-1 [6357 kB] Get:112 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-tz all 2023.3-3 [31.2 kB] Get:113 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-babel all 2.10.3-1 [87.5 kB] Get:114 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-certifi all 2022.9.24-1 [155 kB] Get:115 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-chardet all 5.1.0+dfsg-2 [119 kB] Get:116 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-lib2to3 all 3.11.4-1 [79.0 kB] Get:117 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-distutils all 3.11.4-1 [131 kB] Get:118 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-roman all 3.3-3 [9632 B] Get:119 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-docutils all 0.19+dfsg-6 [386 kB] Get:120 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-idna all 3.3-1 [49.3 kB] Get:121 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-imagesize all 1.4.1-1 [6844 B] Get:122 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-markupsafe arm64 2.1.3-1 [12.2 kB] Get:123 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-jinja2 all 3.1.2-1 [107 kB] Get:124 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-packaging all 23.1-1 [38.1 kB] Get:125 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-six all 1.16.0-4 [12.4 kB] Get:126 http://ftpmaster.internal/ubuntu mantic-proposed/main arm64 python3-urllib3 all 1.26.16-1 [102 kB] Get:127 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-requests all 2.28.1+dfsg-1ubuntu2 [50.7 kB] Get:128 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-snowballstemmer all 2.2.0-4 [59.7 kB] Get:129 http://ftpmaster.internal/ubuntu mantic/main arm64 sphinx-common all 5.3.0-4 [691 kB] Get:130 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-alabaster all 0.7.12-1 [17.8 kB] Get:131 http://ftpmaster.internal/ubuntu mantic/main arm64 python3-sphinx all 5.3.0-4 [556 kB] Get:132 http://ftpmaster.internal/ubuntu mantic/main arm64 sphinx-rtd-theme-common all 1.2.2+dfsg-1 [991 kB] Get:133 http://ftpmaster.internal/ubuntu mantic/universe arm64 python3-sphinxcontrib.jquery all 4.1-2 [6826 B] Get:134 http://ftpmaster.internal/ubuntu mantic/universe arm64 python3-sphinx-rtd-theme all 1.2.2+dfsg-1 [23.2 kB] Get:135 http://ftpmaster.internal/ubuntu mantic/main arm64 xfonts-encodings all 1:1.0.5-0ubuntu2 [578 kB] Get:136 http://ftpmaster.internal/ubuntu mantic/main arm64 xfonts-utils arm64 1:7.7+6build2 [93.6 kB] Get:137 http://ftpmaster.internal/ubuntu mantic/universe arm64 tex-gyre all 20180621-6 [6396 kB] Get:138 http://ftpmaster.internal/ubuntu mantic/universe arm64 libfontbox-java all 1:1.8.16-2 [207 kB] Get:139 http://ftpmaster.internal/ubuntu mantic/universe arm64 libpdfbox-java all 1:1.8.16-2 [5199 kB] Get:140 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-latex-recommended all 2022.20230122-3 [8864 kB] Get:141 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-pictures all 2022.20230122-3 [15.8 MB] Get:142 http://ftpmaster.internal/ubuntu mantic/universe arm64 texlive-latex-extra all 2022.20230122-4 [18.8 MB] debconf: delaying package configuration, since apt-utils is not installed Fetched 141 MB in 9s (15.7 MB/s) Selecting previously unselected package liblocale-gettext-perl. (Reading database ... 12614 files and directories currently installed.) Preparing to unpack .../liblocale-gettext-perl_1.07-5_arm64.deb ... Unpacking liblocale-gettext-perl (1.07-5) ... Selecting previously unselected package libpython3.11-minimal:arm64. Preparing to unpack .../libpython3.11-minimal_3.11.4-1_arm64.deb ... Unpacking libpython3.11-minimal:arm64 (3.11.4-1) ... Selecting previously unselected package libexpat1:arm64. Preparing to unpack .../libexpat1_2.5.0-2_arm64.deb ... Unpacking libexpat1:arm64 (2.5.0-2) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.4-1_arm64.deb ... Unpacking python3.11-minimal (3.11.4-1) ... Setting up libpython3.11-minimal:arm64 (3.11.4-1) ... Setting up libexpat1:arm64 (2.5.0-2) ... Setting up python3.11-minimal (3.11.4-1) ... Selecting previously unselected package python3-minimal. (Reading database ... 12942 files and directories currently installed.) Preparing to unpack .../python3-minimal_3.11.4-1_arm64.deb ... Unpacking python3-minimal (3.11.4-1) ... Selecting previously unselected package media-types. Preparing to unpack .../media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package libpython3.11-stdlib:arm64. Preparing to unpack .../libpython3.11-stdlib_3.11.4-1_arm64.deb ... Unpacking libpython3.11-stdlib:arm64 (3.11.4-1) ... Selecting previously unselected package python3.11. Preparing to unpack .../python3.11_3.11.4-1_arm64.deb ... Unpacking python3.11 (3.11.4-1) ... Selecting previously unselected package libpython3-stdlib:arm64. Preparing to unpack .../libpython3-stdlib_3.11.4-1_arm64.deb ... Unpacking libpython3-stdlib:arm64 (3.11.4-1) ... Setting up python3-minimal (3.11.4-1) ... Selecting previously unselected package python3. (Reading database ... 13350 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.4-1_arm64.deb ... Unpacking python3 (3.11.4-1) ... Selecting previously unselected package m4. Preparing to unpack .../001-m4_1.4.19-3_arm64.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package flex. Preparing to unpack .../002-flex_2.6.4-8.2_arm64.deb ... Unpacking flex (2.6.4-8.2) ... Selecting previously unselected package fonts-lato. Preparing to unpack .../003-fonts-lato_2.0-2.1_all.deb ... Unpacking fonts-lato (2.0-2.1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../004-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package libbsd0:arm64. Preparing to unpack .../005-libbsd0_0.11.7-4_arm64.deb ... Unpacking libbsd0:arm64 (0.11.7-4) ... Selecting previously unselected package libelf1:arm64. Preparing to unpack .../006-libelf1_0.189-3_arm64.deb ... Unpacking libelf1:arm64 (0.189-3) ... Selecting previously unselected package libglib2.0-0:arm64. Preparing to unpack .../007-libglib2.0-0_2.76.3-1ubuntu1_arm64.deb ... Unpacking libglib2.0-0:arm64 (2.76.3-1ubuntu1) ... Selecting previously unselected package libicu72:arm64. Preparing to unpack .../008-libicu72_72.1-3ubuntu2_arm64.deb ... Unpacking libicu72:arm64 (72.1-3ubuntu2) ... Selecting previously unselected package libxml2:arm64. Preparing to unpack .../009-libxml2_2.9.14+dfsg-1.2_arm64.deb ... Unpacking libxml2:arm64 (2.9.14+dfsg-1.2) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../010-python3-pkg-resources_67.8.0-1_all.deb ... Unpacking python3-pkg-resources (67.8.0-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../011-python3-pygments_2.15.1+dfsg-1_all.deb ... Unpacking python3-pygments (2.15.1+dfsg-1) ... Selecting previously unselected package ucf. Preparing to unpack .../012-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../013-bsdextrautils_2.38.1-5ubuntu2_arm64.deb ... Unpacking bsdextrautils (2.38.1-5ubuntu2) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../014-libmagic-mgc_1%3a5.44-3_arm64.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:arm64. Preparing to unpack .../015-libmagic1_1%3a5.44-3_arm64.deb ... Unpacking libmagic1:arm64 (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../016-file_1%3a5.44-3_arm64.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../017-gettext-base_0.21-12_arm64.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:arm64. Preparing to unpack .../018-libuchardet0_0.0.7-1build2_arm64.deb ... Unpacking libuchardet0:arm64 (0.0.7-1build2) ... Selecting previously unselected package groff-base. Preparing to unpack .../019-groff-base_1.22.4-10_arm64.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package libpipeline1:arm64. Preparing to unpack .../020-libpipeline1_1.5.7-1_arm64.deb ... Unpacking libpipeline1:arm64 (1.5.7-1) ... Selecting previously unselected package libxau6:arm64. Preparing to unpack .../021-libxau6_1%3a1.0.9-1build5_arm64.deb ... Unpacking libxau6:arm64 (1:1.0.9-1build5) ... Selecting previously unselected package libxdmcp6:arm64. Preparing to unpack .../022-libxdmcp6_1%3a1.1.3-0ubuntu5_arm64.deb ... Unpacking libxdmcp6:arm64 (1:1.1.3-0ubuntu5) ... Selecting previously unselected package libxcb1:arm64. Preparing to unpack .../023-libxcb1_1.15-1_arm64.deb ... Unpacking libxcb1:arm64 (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../024-libx11-data_2%3a1.8.6-1_all.deb ... Unpacking libx11-data (2:1.8.6-1) ... Selecting previously unselected package libx11-6:arm64. Preparing to unpack .../025-libx11-6_2%3a1.8.6-1_arm64.deb ... Unpacking libx11-6:arm64 (2:1.8.6-1) ... Selecting previously unselected package libxext6:arm64. Preparing to unpack .../026-libxext6_2%3a1.3.4-1build1_arm64.deb ... Unpacking libxext6:arm64 (2:1.3.4-1build1) ... Selecting previously unselected package man-db. Preparing to unpack .../027-man-db_2.11.2-2_arm64.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package autoconf. Preparing to unpack .../028-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../029-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../030-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../031-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package bison. Preparing to unpack .../032-bison_2%3a3.8.2+dfsg-1build1_arm64.deb ... Unpacking bison (2:3.8.2+dfsg-1build1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../033-libdebhelper-perl_13.11.4ubuntu3_all.deb ... Unpacking libdebhelper-perl (13.11.4ubuntu3) ... Selecting previously unselected package libtool. Preparing to unpack .../034-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../035-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../036-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../037-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../038-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../039-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libdw1:arm64. Preparing to unpack .../040-libdw1_0.189-3_arm64.deb ... Unpacking libdw1:arm64 (0.189-3) ... Selecting previously unselected package debugedit. Preparing to unpack .../041-debugedit_1%3a5.0-5_arm64.deb ... Unpacking debugedit (1:5.0-5) ... Selecting previously unselected package dwz. Preparing to unpack .../042-dwz_0.15-1_arm64.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package gettext. Preparing to unpack .../043-gettext_0.21-12_arm64.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../044-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../045-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../046-debhelper_13.11.4ubuntu3_all.deb ... Unpacking debhelper (13.11.4ubuntu3) ... Selecting previously unselected package xml-core. Preparing to unpack .../047-xml-core_0.18+nmu1_all.deb ... Unpacking xml-core (0.18+nmu1) ... Selecting previously unselected package docutils-common. Preparing to unpack .../048-docutils-common_0.19+dfsg-6_all.deb ... Unpacking docutils-common (0.19+dfsg-6) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../049-fonts-dejavu-core_2.37-6_all.deb ... Unpacking fonts-dejavu-core (2.37-6) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../050-fontconfig-config_2.14.1-4ubuntu1_arm64.deb ... Unpacking fontconfig-config (2.14.1-4ubuntu1) ... Selecting previously unselected package fonts-font-awesome. Preparing to unpack .../051-fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1_all.deb ... Unpacking fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../052-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package help2man. Preparing to unpack .../053-help2man_1.49.3_arm64.deb ... Unpacking help2man (1.49.3) ... Selecting previously unselected package tex-common. Preparing to unpack .../054-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package libpaper1:arm64. Preparing to unpack .../055-libpaper1_1.1.29_arm64.deb ... Unpacking libpaper1:arm64 (1.1.29) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../056-libpaper-utils_1.1.29_arm64.deb ... Unpacking libpaper-utils (1.1.29) ... Selecting previously unselected package libkpathsea6:arm64. Preparing to unpack .../057-libkpathsea6_2022.20220321.62855-7_arm64.deb ... Unpacking libkpathsea6:arm64 (2022.20220321.62855-7) ... Selecting previously unselected package libptexenc1:arm64. Preparing to unpack .../058-libptexenc1_2022.20220321.62855-7_arm64.deb ... Unpacking libptexenc1:arm64 (2022.20220321.62855-7) ... Selecting previously unselected package libsynctex2:arm64. Preparing to unpack .../059-libsynctex2_2022.20220321.62855-7_arm64.deb ... Unpacking libsynctex2:arm64 (2022.20220321.62855-7) ... Selecting previously unselected package libtexlua53-5:arm64. Preparing to unpack .../060-libtexlua53-5_2022.20220321.62855-7_arm64.deb ... Unpacking libtexlua53-5:arm64 (2022.20220321.62855-7) ... Selecting previously unselected package t1utils. Preparing to unpack .../061-t1utils_1.41-4build2_arm64.deb ... Unpacking t1utils (1.41-4build2) ... Selecting previously unselected package libbrotli1:arm64. Preparing to unpack .../062-libbrotli1_1.0.9-2build8_arm64.deb ... Unpacking libbrotli1:arm64 (1.0.9-2build8) ... Selecting previously unselected package libfreetype6:arm64. Preparing to unpack .../063-libfreetype6_2.12.1+dfsg-5_arm64.deb ... Unpacking libfreetype6:arm64 (2.12.1+dfsg-5) ... Selecting previously unselected package libfontconfig1:arm64. Preparing to unpack .../064-libfontconfig1_2.14.1-4ubuntu1_arm64.deb ... Unpacking libfontconfig1:arm64 (2.14.1-4ubuntu1) ... Selecting previously unselected package libpixman-1-0:arm64. Preparing to unpack .../065-libpixman-1-0_0.42.2-1_arm64.deb ... Unpacking libpixman-1-0:arm64 (0.42.2-1) ... Selecting previously unselected package libxcb-render0:arm64. Preparing to unpack .../066-libxcb-render0_1.15-1_arm64.deb ... Unpacking libxcb-render0:arm64 (1.15-1) ... Selecting previously unselected package libxcb-shm0:arm64. Preparing to unpack .../067-libxcb-shm0_1.15-1_arm64.deb ... Unpacking libxcb-shm0:arm64 (1.15-1) ... Selecting previously unselected package libxrender1:arm64. Preparing to unpack .../068-libxrender1_1%3a0.9.10-1.1_arm64.deb ... Unpacking libxrender1:arm64 (1:0.9.10-1.1) ... Selecting previously unselected package libcairo2:arm64. Preparing to unpack .../069-libcairo2_1.16.0-7_arm64.deb ... Unpacking libcairo2:arm64 (1.16.0-7) ... Selecting previously unselected package libgraphite2-3:arm64. Preparing to unpack .../070-libgraphite2-3_1.3.14-1build2_arm64.deb ... Unpacking libgraphite2-3:arm64 (1.3.14-1build2) ... Selecting previously unselected package libharfbuzz0b:arm64. Preparing to unpack .../071-libharfbuzz0b_6.0.0+dfsg-3build1_arm64.deb ... Unpacking libharfbuzz0b:arm64 (6.0.0+dfsg-3build1) ... Selecting previously unselected package libteckit0:arm64. Preparing to unpack .../072-libteckit0_2.5.11+ds1-1_arm64.deb ... Unpacking libteckit0:arm64 (2.5.11+ds1-1) ... Selecting previously unselected package x11-common. Preparing to unpack .../073-x11-common_1%3a7.7+23ubuntu2_all.deb ... Unpacking x11-common (1:7.7+23ubuntu2) ... Selecting previously unselected package libice6:arm64. Preparing to unpack .../074-libice6_2%3a1.0.10-1build2_arm64.deb ... Unpacking libice6:arm64 (2:1.0.10-1build2) ... Selecting previously unselected package libsm6:arm64. Preparing to unpack .../075-libsm6_2%3a1.2.3-1build2_arm64.deb ... Unpacking libsm6:arm64 (2:1.2.3-1build2) ... Selecting previously unselected package libxt6:arm64. Preparing to unpack .../076-libxt6_1%3a1.2.1-1.1_arm64.deb ... Unpacking libxt6:arm64 (1:1.2.1-1.1) ... Selecting previously unselected package libxmu6:arm64. Preparing to unpack .../077-libxmu6_2%3a1.1.3-3_arm64.deb ... Unpacking libxmu6:arm64 (2:1.1.3-3) ... Selecting previously unselected package libxpm4:arm64. Preparing to unpack .../078-libxpm4_1%3a3.5.12-1.1_arm64.deb ... Unpacking libxpm4:arm64 (1:3.5.12-1.1) ... Selecting previously unselected package libxaw7:arm64. Preparing to unpack .../079-libxaw7_2%3a1.0.14-1_arm64.deb ... Unpacking libxaw7:arm64 (2:1.0.14-1) ... Selecting previously unselected package libxi6:arm64. Preparing to unpack .../080-libxi6_2%3a1.8-1build1_arm64.deb ... Unpacking libxi6:arm64 (2:1.8-1build1) ... Selecting previously unselected package libzzip-0-13:arm64. Preparing to unpack .../081-libzzip-0-13_0.13.72+dfsg.1-1.1_arm64.deb ... Unpacking libzzip-0-13:arm64 (0.13.72+dfsg.1-1.1) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../082-texlive-binaries_2022.20220321.62855-7_arm64.deb ... Unpacking texlive-binaries (2022.20220321.62855-7) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../083-xdg-utils_1.1.3-4.1ubuntu3_all.deb ... Unpacking xdg-utils (1.1.3-4.1ubuntu3) ... Selecting previously unselected package texlive-base. Preparing to unpack .../084-texlive-base_2022.20230122-3_all.deb ... Unpacking texlive-base (2022.20230122-3) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../085-texlive-latex-base_2022.20230122-3_all.deb ... Unpacking texlive-latex-base (2022.20230122-3) ... Selecting previously unselected package latexmk. Preparing to unpack .../086-latexmk_1%3a4.80-1_all.deb ... Unpacking latexmk (1:4.80-1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../087-libapache-pom-java_29-2_all.deb ... Unpacking libapache-pom-java (29-2) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../088-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../089-libcommons-logging-java_1.2-3_all.deb ... Unpacking libcommons-logging-java (1.2-3) ... Selecting previously unselected package libfl2:arm64. Preparing to unpack .../090-libfl2_2.6.4-8.2_arm64.deb ... Unpacking libfl2:arm64 (2.6.4-8.2) ... Selecting previously unselected package libfl-dev:arm64. Preparing to unpack .../091-libfl-dev_2.6.4-8.2_arm64.deb ... Unpacking libfl-dev:arm64 (2.6.4-8.2) ... Selecting previously unselected package libfontenc1:arm64. Preparing to unpack .../092-libfontenc1_1%3a1.1.4-1build3_arm64.deb ... Unpacking libfontenc1:arm64 (1:1.1.4-1build3) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../093-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../094-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../095-libjs-sphinxdoc_5.3.0-4_all.deb ... Unpacking libjs-sphinxdoc (5.3.0-4) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../096-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package libsystemc:arm64. Preparing to unpack .../097-libsystemc_2.3.4-3_arm64.deb ... Unpacking libsystemc:arm64 (2.3.4-3) ... Selecting previously unselected package libsystemc-dev:arm64. Preparing to unpack .../098-libsystemc-dev_2.3.4-3_arm64.deb ... Unpacking libsystemc-dev:arm64 (2.3.4-3) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../099-preview-latex-style_12.2-1ubuntu1_all.deb ... Unpacking preview-latex-style (12.2-1ubuntu1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../100-python-babel-localedata_2.10.3-1_all.deb ... Unpacking python-babel-localedata (2.10.3-1) ... Selecting previously unselected package python3-tz. Preparing to unpack .../101-python3-tz_2023.3-3_all.deb ... Unpacking python3-tz (2023.3-3) ... Selecting previously unselected package python3-babel. Preparing to unpack .../102-python3-babel_2.10.3-1_all.deb ... Unpacking python3-babel (2.10.3-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../103-python3-certifi_2022.9.24-1_all.deb ... Unpacking python3-certifi (2022.9.24-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../104-python3-chardet_5.1.0+dfsg-2_all.deb ... Unpacking python3-chardet (5.1.0+dfsg-2) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../105-python3-lib2to3_3.11.4-1_all.deb ... Unpacking python3-lib2to3 (3.11.4-1) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../106-python3-distutils_3.11.4-1_all.deb ... Unpacking python3-distutils (3.11.4-1) ... Selecting previously unselected package python3-roman. Preparing to unpack .../107-python3-roman_3.3-3_all.deb ... Unpacking python3-roman (3.3-3) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../108-python3-docutils_0.19+dfsg-6_all.deb ... Unpacking python3-docutils (0.19+dfsg-6) ... Selecting previously unselected package python3-idna. Preparing to unpack .../109-python3-idna_3.3-1_all.deb ... Unpacking python3-idna (3.3-1) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../110-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../111-python3-markupsafe_2.1.3-1_arm64.deb ... Unpacking python3-markupsafe (2.1.3-1) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../112-python3-jinja2_3.1.2-1_all.deb ... Unpacking python3-jinja2 (3.1.2-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../113-python3-packaging_23.1-1_all.deb ... Unpacking python3-packaging (23.1-1) ... Selecting previously unselected package python3-six. Preparing to unpack .../114-python3-six_1.16.0-4_all.deb ... Unpacking python3-six (1.16.0-4) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../115-python3-urllib3_1.26.16-1_all.deb ... Unpacking python3-urllib3 (1.26.16-1) ... Selecting previously unselected package python3-requests. Preparing to unpack .../116-python3-requests_2.28.1+dfsg-1ubuntu2_all.deb ... Unpacking python3-requests (2.28.1+dfsg-1ubuntu2) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../117-python3-snowballstemmer_2.2.0-4_all.deb ... Unpacking python3-snowballstemmer (2.2.0-4) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../118-sphinx-common_5.3.0-4_all.deb ... Unpacking sphinx-common (5.3.0-4) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../119-python3-alabaster_0.7.12-1_all.deb ... Unpacking python3-alabaster (0.7.12-1) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../120-python3-sphinx_5.3.0-4_all.deb ... Unpacking python3-sphinx (5.3.0-4) ... Selecting previously unselected package sphinx-rtd-theme-common. Preparing to unpack .../121-sphinx-rtd-theme-common_1.2.2+dfsg-1_all.deb ... Unpacking sphinx-rtd-theme-common (1.2.2+dfsg-1) ... Selecting previously unselected package python3-sphinxcontrib.jquery. Preparing to unpack .../122-python3-sphinxcontrib.jquery_4.1-2_all.deb ... Unpacking python3-sphinxcontrib.jquery (4.1-2) ... Selecting previously unselected package python3-sphinx-rtd-theme. Preparing to unpack .../123-python3-sphinx-rtd-theme_1.2.2+dfsg-1_all.deb ... Unpacking python3-sphinx-rtd-theme (1.2.2+dfsg-1) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../124-xfonts-encodings_1%3a1.0.5-0ubuntu2_all.deb ... Unpacking xfonts-encodings (1:1.0.5-0ubuntu2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../125-xfonts-utils_1%3a7.7+6build2_arm64.deb ... Unpacking xfonts-utils (1:7.7+6build2) ... Selecting previously unselected package tex-gyre. Preparing to unpack .../126-tex-gyre_20180621-6_all.deb ... Unpacking tex-gyre (20180621-6) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../127-libfontbox-java_1%3a1.8.16-2_all.deb ... Unpacking libfontbox-java (1:1.8.16-2) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../128-libpdfbox-java_1%3a1.8.16-2_all.deb ... Unpacking libpdfbox-java (1:1.8.16-2) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../129-texlive-latex-recommended_2022.20230122-3_all.deb ... Unpacking texlive-latex-recommended (2022.20230122-3) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../130-texlive-pictures_2022.20230122-3_all.deb ... Unpacking texlive-pictures (2022.20230122-3) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../131-texlive-latex-extra_2022.20230122-4_all.deb ... Unpacking texlive-latex-extra (2022.20230122-4) ... Selecting previously unselected package sbuild-build-depends-main-dummy. Preparing to unpack .../132-sbuild-build-depends-main-dummy_0.invalid.0_arm64.deb ... Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:arm64 (1.5.7-1) ... Setting up libgraphite2-3:arm64 (1.3.14-1build2) ... Setting up libpixman-1-0:arm64 (0.42.2-1) ... Setting up libxau6:arm64 (1:1.0.9-1build5) ... Setting up fonts-lato (2.0-2.1) ... Setting up libicu72:arm64 (72.1-3ubuntu2) ... Setting up bsdextrautils (2.38.1-5ubuntu2) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:arm64 (2.76.3-1ubuntu1) ... No schema files found: doing nothing. Setting up libpython3.11-stdlib:arm64 (3.11.4-1) ... Setting up libdebhelper-perl (13.11.4ubuntu3) ... Setting up libbrotli1:arm64 (1.0.9-2build8) ... Setting up libfontbox-java (1:1.8.16-2) ... Setting up x11-common (1:7.7+23ubuntu2) ... Running in chroot, ignoring request. invoke-rc.d: policy-rc.d denied execution of start. Setting up libmagic1:arm64 (1:5.44-3) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up libzzip-0-13:arm64 (0.13.72+dfsg.1-1.1) ... Setting up file (1:5.44-3) ... Setting up python-babel-localedata (2.10.3-1) ... Setting up libfontenc1:arm64 (1:1.1.4-1build3) ... Setting up libsystemc:arm64 (2.3.4-3) ... Setting up autotools-dev (20220109.1) ... Setting up libfreetype6:arm64 (2.12.1+dfsg-5) ... Setting up libx11-data (2:1.8.6-1) ... Setting up libsystemc-dev:arm64 (2.3.4-3) ... Setting up libteckit0:arm64 (2.5.11+ds1-1) ... Setting up libapache-pom-java (29-2) ... Setting up xfonts-encodings (1:1.0.5-0ubuntu2) ... Setting up t1utils (1.41-4build2) ... Setting up libtexlua53-5:arm64 (2022.20220321.62855-7) ... Setting up autopoint (0.21-12) ... Setting up fonts-dejavu-core (2.37-6) ... Setting up libfl2:arm64 (2.6.4-8.2) ... Setting up ucf (3.0043+nmu1) ... Setting up libkpathsea6:arm64 (2022.20220321.62855-7) ... Setting up autoconf (2.71-3) ... Setting up libuchardet0:arm64 (0.0.7-1build2) ... Setting up libjson-perl (4.10000-1) ... Setting up bison (2:3.8.2+dfsg-1build1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up fonts-lmodern (2.005-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up libharfbuzz0b:arm64 (6.0.0+dfsg-3build1) ... Setting up sgml-base (1.31) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libbsd0:arm64 (0.11.7-4) ... Setting up libelf1:arm64 (0.189-3) ... Setting up libxml2:arm64 (2.9.14+dfsg-1.2) ... Setting up fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Setting up sphinx-rtd-theme-common (1.2.2+dfsg-1) ... Setting up xdg-utils (1.1.3-4.1ubuntu3) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up liblocale-gettext-perl (1.07-5) ... Setting up libsynctex2:arm64 (2022.20220321.62855-7) ... Setting up libpython3-stdlib:arm64 (3.11.4-1) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libpaper1:arm64 (1.1.29) ... Creating config file /etc/papersize with new version Setting up python3.11 (3.11.4-1) ... Setting up libice6:arm64 (2:1.0.10-1build2) ... Setting up libdw1:arm64 (0.189-3) ... Setting up libxdmcp6:arm64 (1:1.1.3-0ubuntu5) ... Setting up flex (2.6.4-8.2) ... Setting up libxcb1:arm64 (1.15-1) ... Setting up gettext (0.21-12) ... Setting up libpdfbox-java (1:1.8.16-2) ... Setting up libtool (2.4.7-5) ... Setting up libxcb-render0:arm64 (1.15-1) ... Setting up fontconfig-config (2.14.1-4ubuntu1) ... Setting up libcommons-parent-java (56-1) ... Setting up libcommons-logging-java (1.2-3) ... Setting up python3 (3.11.4-1) ... Setting up libxcb-shm0:arm64 (1.15-1) ... Setting up libpaper-utils (1.1.29) ... Setting up xfonts-utils (1:7.7+6build2) ... Setting up python3-markupsafe (2.1.3-1) ... Setting up libfl-dev:arm64 (2.6.4-8.2) ... Setting up python3-tz (2023.3-3) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up help2man (1.49.3) ... Setting up python3-six (1.16.0-4) ... Setting up dh-autoreconf (20) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up python3-roman (3.3-3) ... Setting up python3-jinja2 (3.1.2-1) ... Setting up python3-packaging (23.1-1) ... Setting up libptexenc1:arm64 (2022.20220321.62855-7) ... Setting up python3-certifi (2022.9.24-1) ... Setting up python3-snowballstemmer (2.2.0-4) ... Setting up python3-idna (3.3-1) ... Setting up libjs-sphinxdoc (5.3.0-4) ... Setting up python3-urllib3 (1.26.16-1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up xml-core (0.18+nmu1) ... Setting up debugedit (1:5.0-5) ... Setting up libx11-6:arm64 (2:1.8.6-1) ... Setting up libfontconfig1:arm64 (2.14.1-4ubuntu1) ... Setting up python3-lib2to3 (3.11.4-1) ... Setting up libsm6:arm64 (2:1.2.3-1build2) ... Setting up tex-gyre (20180621-6) ... Setting up python3-imagesize (1.4.1-1) ... Setting up python3-pkg-resources (67.8.0-1) ... Setting up python3-distutils (3.11.4-1) ... Setting up libxpm4:arm64 (1:3.5.12-1.1) ... Setting up libxrender1:arm64 (1:0.9.10-1.1) ... Setting up python3-babel (2.10.3-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-alabaster (0.7.12-1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up preview-latex-style (12.2-1ubuntu1) ... Setting up libxext6:arm64 (2:1.3.4-1build1) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Created symlink /etc/systemd/system/timers.target.wants/man-db.timer → /lib/systemd/system/man-db.timer. Setting up libcairo2:arm64 (1.16.0-7) ... Setting up python3-pygments (2.15.1+dfsg-1) ... Setting up python3-chardet (5.1.0+dfsg-2) ... Setting up sphinx-common (5.3.0-4) ... Setting up python3-requests (2.28.1+dfsg-1ubuntu2) ... Setting up libxt6:arm64 (1:1.2.1-1.1) ... Setting up libxmu6:arm64 (2:1.1.3-3) ... Setting up libxi6:arm64 (2:1.8-1build1) ... Setting up debhelper (13.11.4ubuntu3) ... Setting up libxaw7:arm64 (2:1.0.14-1) ... Setting up texlive-binaries (2022.20220321.62855-7) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up texlive-base (2022.20230122-3) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up texlive-latex-base (2022.20230122-3) ... Setting up texlive-latex-recommended (2022.20230122-3) ... Setting up texlive-pictures (2022.20230122-3) ... Setting up latexmk (1:4.80-1) ... Setting up texlive-latex-extra (2022.20230122-4) ... Processing triggers for libc-bin (2.37-0ubuntu2) ... Processing triggers for systemd (252.5-2ubuntu3) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.19+dfsg-6) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.19+dfsg-6) ... Setting up python3-sphinx (5.3.0-4) ... Setting up python3-sphinxcontrib.jquery (4.1-2) ... Setting up python3-sphinx-rtd-theme (1.2.2+dfsg-1) ... Setting up sbuild-build-depends-main-dummy (0.invalid.0) ... Processing triggers for tex-common (6.18) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. +------------------------------------------------------------------------------+ | Check architectures | +------------------------------------------------------------------------------+ Arch check ok (arm64 included in any) +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 5.4.0-153-generic #170-Ubuntu SMP Fri Jun 16 13:46:00 UTC 2023 arm64 (aarch64) Toolchain package versions: binutils_2.40.50.20230701-0ubuntu1 dpkg-dev_1.21.22ubuntu1 g++-12_12.3.0-3ubuntu1 gcc-12_12.3.0-3ubuntu1 libc6-dev_2.37-0ubuntu2 libstdc++-12-dev_12.3.0-3ubuntu1 libstdc++6_13.1.0-7ubuntu1 linux-libc-dev_6.3.0-7.7 Package versions: adduser_3.134ubuntu1 advancecomp_2.5-1 apt_2.7.1 autoconf_2.71-3 automake_1:1.16.5-1.3 autopoint_0.21-12 autotools-dev_20220109.1 base-files_13ubuntu1 base-passwd_3.6.1 bash_5.2.15-2ubuntu1 binutils_2.40.50.20230701-0ubuntu1 binutils-aarch64-linux-gnu_2.40.50.20230701-0ubuntu1 binutils-common_2.40.50.20230701-0ubuntu1 bison_2:3.8.2+dfsg-1build1 bsdextrautils_2.38.1-5ubuntu2 bsdutils_1:2.38.1-5ubuntu2 build-essential_12.10ubuntu1 bzip2_1.0.8-5build1 ca-certificates_20230311ubuntu1 coreutils_9.1-1ubuntu2 cpp_4:12.3.0-1ubuntu2 cpp-12_12.3.0-3ubuntu1 dash_0.5.12-2ubuntu1 debconf_1.5.82 debhelper_13.11.4ubuntu3 debianutils_5.7-0.4 debugedit_1:5.0-5 dh-autoreconf_20 dh-strip-nondeterminism_1.13.1-1 diffutils_1:3.8-4 docutils-common_0.19+dfsg-6 dpkg_1.21.22ubuntu1 dpkg-dev_1.21.22ubuntu1 dwz_0.15-1 e2fsprogs_1.47.0-1ubuntu2 fakeroot_1.31-1.2 file_1:5.44-3 findutils_4.9.0-4ubuntu1 flex_2.6.4-8.2 fontconfig-config_2.14.1-4ubuntu1 fonts-dejavu-core_2.37-6 fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1 fonts-lato_2.0-2.1 fonts-lmodern_2.005-1 g++_4:12.3.0-1ubuntu2 g++-12_12.3.0-3ubuntu1 gcc_4:12.3.0-1ubuntu2 gcc-12_12.3.0-3ubuntu1 gcc-12-base_12.3.0-3ubuntu1 gcc-13-base_13.1.0-7ubuntu1 gettext_0.21-12 gettext-base_0.21-12 gpg_2.2.40-1.1ubuntu1 gpg-agent_2.2.40-1.1ubuntu1 gpgconf_2.2.40-1.1ubuntu1 gpgv_2.2.40-1.1ubuntu1 grep_3.8-5 groff-base_1.22.4-10 gzip_1.12-1ubuntu1 help2man_1.49.3 hostname_3.23+nmu1ubuntu1 init_1.65.2 init-system-helpers_1.65.2 intltool-debian_0.35.0+20060710.6 latexmk_1:4.80-1 libacl1_2.3.1-3 libapache-pom-java_29-2 libapparmor1_3.0.8-1ubuntu3 libapt-pkg6.0_2.7.1 libarchive-zip-perl_1.68-1 libargon2-1_0~20190702+dfsg-3 libasan8_13.1.0-7ubuntu1 libassuan0_2.5.5-5 libatomic1_13.1.0-7ubuntu1 libattr1_1:2.5.1-4 libaudit-common_1:3.0.9-1 libaudit1_1:3.0.9-1 libbinutils_2.40.50.20230701-0ubuntu1 libblkid1_2.38.1-5ubuntu2 libbrotli1_1.0.9-2build8 libbsd0_0.11.7-4 libbz2-1.0_1.0.8-5build1 libc-bin_2.37-0ubuntu2 libc-dev-bin_2.37-0ubuntu2 libc6_2.37-0ubuntu2 libc6-dev_2.37-0ubuntu2 libcairo2_1.16.0-7 libcap-ng0_0.8.3-1build2 libcap2_1:2.66-4ubuntu1 libcc1-0_13.1.0-7ubuntu1 libcom-err2_1.47.0-1ubuntu2 libcommons-logging-java_1.2-3 libcommons-parent-java_56-1 libcrypt-dev_1:4.4.35-1 libcrypt1_1:4.4.35-1 libcryptsetup12_2:2.6.1-4ubuntu1 libctf-nobfd0_2.40.50.20230701-0ubuntu1 libctf0_2.40.50.20230701-0ubuntu1 libdb5.3_5.3.28+dfsg2-1 libdebconfclient0_0.267ubuntu1 libdebhelper-perl_13.11.4ubuntu3 libdevmapper1.02.1_2:1.02.185-2ubuntu1 libdpkg-perl_1.21.22ubuntu1 libdw1_0.189-3 libelf1_0.189-3 libexpat1_2.5.0-2 libext2fs2_1.47.0-1ubuntu2 libfakeroot_1.31-1.2 libfdisk1_2.38.1-5ubuntu2 libffi8_3.4.4-1 libfile-stripnondeterminism-perl_1.13.1-1 libfl-dev_2.6.4-8.2 libfl2_2.6.4-8.2 libfontbox-java_1:1.8.16-2 libfontconfig1_2.14.1-4ubuntu1 libfontenc1_1:1.1.4-1build3 libfreetype6_2.12.1+dfsg-5 libgcc-12-dev_12.3.0-3ubuntu1 libgcc-s1_13.1.0-7ubuntu1 libgcrypt20_1.10.1-3ubuntu1 libgdbm-compat4_1.23-3 libgdbm6_1.23-3 libglib2.0-0_2.76.3-1ubuntu1 libgmp10_2:6.2.1+dfsg1-1.1ubuntu1 libgnutls30_3.7.9-2ubuntu1 libgomp1_13.1.0-7ubuntu1 libgpg-error0_1.46-1 libgprofng0_2.40.50.20230701-0ubuntu1 libgraphite2-3_1.3.14-1build2 libgssapi-krb5-2_1.20.1-2 libharfbuzz0b_6.0.0+dfsg-3build1 libhogweed6_3.8.1-2 libhwasan0_13.1.0-7ubuntu1 libice6_2:1.0.10-1build2 libicu72_72.1-3ubuntu2 libidn2-0_2.3.3-1build1 libip4tc2_1.8.7-1ubuntu7 libisl23_0.26-3 libitm1_13.1.0-7ubuntu1 libjansson4_2.14-2 libjs-jquery_3.6.1+dfsg+~3.5.14-1 libjs-sphinxdoc_5.3.0-4 libjs-underscore_1.13.4~dfsg+~1.11.4-3 libjson-c5_0.16-2 libjson-perl_4.10000-1 libk5crypto3_1.20.1-2 libkeyutils1_1.6.3-2 libkmod2_30+20221128-1ubuntu1 libkpathsea6_2022.20220321.62855-7 libkrb5-3_1.20.1-2 libkrb5support0_1.20.1-2 liblocale-gettext-perl_1.07-5 liblockfile-bin_1.17-1build2 liblockfile1_1.17-1build2 liblsan0_13.1.0-7ubuntu1 liblz4-1_1.9.4-1 liblzma5_5.4.1-0.2 libmagic-mgc_1:5.44-3 libmagic1_1:5.44-3 libmd0_1.1.0-1 libmount1_2.38.1-5ubuntu2 libmpc3_1.3.1-1 libmpfr6_4.2.0-1 libncurses6_6.4+20230625-1 libncursesw6_6.4+20230625-1 libnettle8_3.8.1-2 libnpth0_1.6-3build2 libnsl-dev_1.3.0-2build2 libnsl2_1.3.0-2build2 libp11-kit0_0.24.1-2ubuntu1 libpam-modules_1.5.2-6ubuntu1 libpam-modules-bin_1.5.2-6ubuntu1 libpam-runtime_1.5.2-6ubuntu1 libpam0g_1.5.2-6ubuntu1 libpaper-utils_1.1.29 libpaper1_1.1.29 libpcre2-8-0_10.42-1 libpdfbox-java_1:1.8.16-2 libperl5.36_5.36.0-7ubuntu1 libpipeline1_1.5.7-1 libpixman-1-0_0.42.2-1 libpng16-16_1.6.40-1 libproc2-0_2:4.0.3-1ubuntu1 libprocps8_2:3.3.17-7ubuntu1 libptexenc1_2022.20220321.62855-7 libpython3-stdlib_3.11.4-1 libpython3.11-minimal_3.11.4-1 libpython3.11-stdlib_3.11.4-1 libreadline8_8.2-1.3 libseccomp2_2.5.4-1ubuntu3 libselinux1_3.4-1build4 libsemanage-common_3.4-1build4 libsemanage2_3.4-1build4 libsepol2_3.4-2.1 libsframe1_2.40.50.20230701-0ubuntu1 libsm6_2:1.2.3-1build2 libsmartcols1_2.38.1-5ubuntu2 libsqlite3-0_3.42.0-1 libss2_1.47.0-1ubuntu2 libssl3_3.0.9-1ubuntu1 libstdc++-12-dev_12.3.0-3ubuntu1 libstdc++6_13.1.0-7ubuntu1 libsub-override-perl_0.09-4 libsynctex2_2022.20220321.62855-7 libsystemc_2.3.4-3 libsystemc-dev_2.3.4-3 libsystemd-shared_252.5-2ubuntu3 libsystemd0_252.5-2ubuntu3 libtasn1-6_4.19.0-3 libteckit0_2.5.11+ds1-1 libtexlua53-5_2022.20220321.62855-7 libtinfo6_6.4+20230625-1 libtirpc-common_1.3.3+ds-1 libtirpc-dev_1.3.3+ds-1 libtirpc3_1.3.3+ds-1 libtool_2.4.7-5 libtsan2_13.1.0-7ubuntu1 libubsan1_13.1.0-7ubuntu1 libuchardet0_0.0.7-1build2 libudev1_252.5-2ubuntu3 libunistring2_1.0-2 libuuid1_2.38.1-5ubuntu2 libx11-6_2:1.8.6-1 libx11-data_2:1.8.6-1 libxau6_1:1.0.9-1build5 libxaw7_2:1.0.14-1 libxcb-render0_1.15-1 libxcb-shm0_1.15-1 libxcb1_1.15-1 libxdmcp6_1:1.1.3-0ubuntu5 libxext6_2:1.3.4-1build1 libxi6_2:1.8-1build1 libxml2_2.9.14+dfsg-1.2 libxmu6_2:1.1.3-3 libxpm4_1:3.5.12-1.1 libxrender1_1:0.9.10-1.1 libxt6_1:1.2.1-1.1 libxxhash0_0.8.1-1 libzstd1_1.5.5+dfsg2-1ubuntu1 libzzip-0-13_0.13.72+dfsg.1-1.1 linux-libc-dev_6.3.0-7.7 lockfile-progs_0.1.19build1 login_1:4.13+dfsg1-1ubuntu1 logsave_1.47.0-1ubuntu2 lsb-base_11.6 lto-disabled-list_41 m4_1.4.19-3 make_4.3-4.1build1 man-db_2.11.2-2 mawk_1.3.4.20230525-1 media-types_10.0.0 mount_2.38.1-5ubuntu2 ncurses-base_6.4+20230625-1 ncurses-bin_6.4+20230625-1 openssl_3.0.9-1ubuntu1 optipng_0.7.7-2build1 passwd_1:4.13+dfsg1-1ubuntu1 patch_2.7.6-7build2 perl_5.36.0-7ubuntu1 perl-base_5.36.0-7ubuntu1 perl-modules-5.36_5.36.0-7ubuntu1 pinentry-curses_1.2.1-1ubuntu1 pkgbinarymangler_153 po-debconf_1.0.21+nmu1 policyrcd-script-zg2_0.1-3.1 preview-latex-style_12.2-1ubuntu1 procps_2:4.0.3-1ubuntu1 python-babel-localedata_2.10.3-1 python3_3.11.4-1 python3-alabaster_0.7.12-1 python3-babel_2.10.3-1 python3-certifi_2022.9.24-1 python3-chardet_5.1.0+dfsg-2 python3-distutils_3.11.4-1 python3-docutils_0.19+dfsg-6 python3-idna_3.3-1 python3-imagesize_1.4.1-1 python3-jinja2_3.1.2-1 python3-lib2to3_3.11.4-1 python3-markupsafe_2.1.3-1 python3-minimal_3.11.4-1 python3-packaging_23.1-1 python3-pkg-resources_67.8.0-1 python3-pygments_2.15.1+dfsg-1 python3-requests_2.28.1+dfsg-1ubuntu2 python3-roman_3.3-3 python3-six_1.16.0-4 python3-snowballstemmer_2.2.0-4 python3-sphinx_5.3.0-4 python3-sphinx-rtd-theme_1.2.2+dfsg-1 python3-sphinxcontrib.jquery_4.1-2 python3-tz_2023.3-3 python3-urllib3_1.26.16-1 python3.11_3.11.4-1 python3.11-minimal_3.11.4-1 readline-common_8.2-1.3 rpcsvc-proto_1.4.2-0ubuntu6 sbuild-build-depends-main-dummy_0.invalid.0 sed_4.9-1 sensible-utils_0.0.20 sgml-base_1.31 sphinx-common_5.3.0-4 sphinx-rtd-theme-common_1.2.2+dfsg-1 systemd_252.5-2ubuntu3 systemd-sysv_252.5-2ubuntu3 sysvinit-utils_3.06-4ubuntu1 t1utils_1.41-4build2 tar_1.34+dfsg-1.2ubuntu1 tex-common_6.18 tex-gyre_20180621-6 texlive-base_2022.20230122-3 texlive-binaries_2022.20220321.62855-7 texlive-latex-base_2022.20230122-3 texlive-latex-extra_2022.20230122-4 texlive-latex-recommended_2022.20230122-3 texlive-pictures_2022.20230122-3 tzdata_2023c-4exp1ubuntu1 ubuntu-keyring_2021.03.26 ucf_3.0043+nmu1 usrmerge_35ubuntu1 util-linux_2.38.1-5ubuntu2 util-linux-extra_2.38.1-5ubuntu2 x11-common_1:7.7+23ubuntu2 xdg-utils_1.1.3-4.1ubuntu3 xfonts-encodings_1:1.0.5-0ubuntu2 xfonts-utils_1:7.7+6build2 xml-core_0.18+nmu1 xz-utils_5.4.1-0.2 zlib1g_1:1.2.13.dfsg-1ubuntu4 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: verilator Binary: verilator Architecture: any Version: 5.012-1 Maintainer: Debian Electronics Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) , Homepage: http://www.veripool.org/wiki/verilator Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/verilator Vcs-Git: https://salsa.debian.org/electronics-team/verilator.git Build-Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, libsystemc-dev [amd64 arm64 i386 kfreebsd-any], help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra Package-List: verilator deb electronics optional arch=any Checksums-Sha1: 6560bbb008c85dfa45342edcfc5dfe794cfec03e 3247339 verilator_5.012.orig.tar.gz c3ce5f894328a43a10ccb8c4558425fc1dbd1a41 12816 verilator_5.012-1.debian.tar.xz Checksums-Sha256: 9c49c943972059577a2d7f0e8c755c8c96d8f2c85ea65d3b42e2278333a73a7a 3247339 verilator_5.012.orig.tar.gz 0a9761c52b1425260da066dcfa6370f158eba23b35ab8757d78981fbf5b9a114 12816 verilator_5.012-1.debian.tar.xz Files: 41e353833adf584a7be3a1da509eb4c8 3247339 verilator_5.012.orig.tar.gz 6527c05b6aee402063752b0473ba49b1 12816 verilator_5.012-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQFWBAEBCgBAFiEEggahliCEfm0N+LF2vBlqlO3dobcFAmSh4CUiHGFlbG1haG1v dWR5QHVzZXJzLnNvdXJjZWZvcmdlLm5ldAAKCRC8GWqU7d2ht6z7B/9DS9mgwt4b vy9PBxltexnLG/EUagKgztWvqdBImzFg3q6K5gzbUz+opGrRqJUliUyi8yF44cQs bVJtgn83GykZKOQyndBAD9stKiNq0aRnNMS6T0hIW/1CtvsHnNSig9AyMAi/LQ96 6UeRCkRFLu5tDBy86/mtJmnmaphwE1Uh/cThbmW/BAiUEajD4LtDQrT3C0k7nss5 Q15iRtSXepGe+OU4uApzkj/vX9c/d5lxJbPjnoZARcb/DA3TkDMHN9KKi62V7k0t oUsfOFMVRDEV2zR2UvAXBl/0kUAzzZlQthSYisSQQDDgXl0hy/g35/OCyHOIP79q sUVmvsuvoJYS =PB2v -----END PGP SIGNATURE----- gpgv: Signature made Sun Jul 2 20:37:57 2023 UTC gpgv: using RSA key 8206A19620847E6D0DF8B176BC196A94EDDDA1B7 gpgv: issuer "aelmahmoudy@users.sourceforge.net" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./verilator_5.012-1.dsc: no acceptable signature found dpkg-source: info: extracting verilator in /<> dpkg-source: info: unpacking verilator_5.012.orig.tar.gz dpkg-source: info: unpacking verilator_5.012-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying pkgconfig.diff dpkg-source: info: applying fix-typos.patch dpkg-source: info: applying remove-gtag.js.patch Check disk space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-26382441 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-26382441 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-26382441 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- Command: dpkg-buildpackage -us -uc -mLaunchpad Build Daemon -B -rfakeroot dpkg-buildpackage: info: source package verilator dpkg-buildpackage: info: source version 5.012-1 dpkg-buildpackage: info: source distribution unstable dpkg-source --before-build . dpkg-buildpackage: info: host architecture arm64 debian/rules clean dh clean debian/rules override_dh_clean make[1]: Entering directory '/<>' dh_clean # Reset src/config_rev into the original state if needed. if [ -f debian/config_rev.save ]; then \ cp -f debian/config_rev.save src/config_rev ;\ fi rm -rf config.log configure docs/_build src/config_rev.h verilator-config.cmake verilator-config-version.cmake verilator.pc make[1]: Leaving directory '/<>' debian/rules binary-arch dh binary-arch dh_update_autotools_config -a debian/rules override_dh_autoreconf make[1]: Entering directory '/<>' # Work around we need to keep the original files for idempotency in case # we run dh_clean. The file isn't generated from "§SOMETHING". if [ ! -f debian/config_rev.save ]; then \ cp src/config_rev debian/config_rev.save ;\ fi # We don't build on top of a working git tree and the build system # can't find a git revision. Adding a Debian version to the final # string instead. sed -i 's/UNKNOWN_REV/(Debian 5.012-1)/g' src/config_rev autoconf make[1]: Leaving directory '/<>' dh_auto_configure -a ./configure --build=aarch64-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/aarch64-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking configuring for Verilator 5.012 2023-06-13 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... none needed checking for g++... g++ checking whether the compiler supports GNU C++... yes checking whether g++ accepts -g... yes checking for g++ option to enable C++11 features... none needed checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (Ubuntu 12.3.0-3ubuntu1) 12.3.0 checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.8.2 checking for ccache... no checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -pg... yes checking whether g++ accepts -std=gnu++17... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -fcoroutines-ts... no checking whether coroutines are supported by g++... yes checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -Og... yes checking whether g++ accepts -ggdb... yes checking whether g++ accepts -gz... yes checking whether g++ linker accepts -gz... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... yes checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports C++11... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h Now type 'make' (or sometimes 'gmake') to build Verilator. debian/rules override_dh_auto_build make[1]: Entering directory '/<>' dh_auto_build make -j4 make[2]: Entering directory '/<>' pod2man bin/verilator verilator.1 pod2man bin/verilator_coverage verilator_coverage.1 ------------------------------------------------------------ help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 making verilator in src make -C src make[3]: Entering directory '/<>/src' mkdir -p obj_dbg /usr/bin/python3 ./config_rev . >config_rev.h help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 /bin/sh: 1: git: not found /bin/sh: 1: git: not found mkdir -p obj_opt make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make[4]: Entering directory '/<>/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes touch vlcovgen.d make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[4]: Leaving directory '/<>/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[4]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_opt' g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Timing.cpp -o V3Timing.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o Linking ../../bin/verilator_bin... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3Global.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3Partition.o V3PreProc.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3ThreadPool.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o -lpthread -lm V3Lexer_pregen.yy.cpp:236: warning: type ‘struct yy_buffer_state’ violates the C++ One Definition Rule [-Wodr] 236 | struct yy_buffer_state | V3PreLex_pregen.yy.cpp:488: note: a different type is defined in another translation unit 488 | struct yy_buffer_state | V3Lexer_pregen.yy.cpp:242: note: the first difference of corresponding definitions is field ‘yy_input_file’ 242 | std::streambuf* yy_input_file; | V3PreLex_pregen.yy.cpp:491: note: a field of same name but different type is defined in another translation unit 491 | FILE *yy_input_file; | V3Lexer_pregen.yy.cpp:236: note: type name ‘std::basic_streambuf >’ should match type name ‘_IO_FILE’ 236 | struct yy_buffer_state | g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Timing.cpp -o V3Timing.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o Linking ../../bin/verilator_bin_dbg... g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3Global.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3Partition.o V3PreProc.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3ThreadPool.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o -lpthread -lm V3Lexer_pregen.yy.cpp:236: warning: type ‘struct yy_buffer_state’ violates the C++ One Definition Rule [-Wodr] 236 | struct yy_buffer_state | V3PreLex_pregen.yy.cpp:488: note: a different type is defined in another translation unit 488 | struct yy_buffer_state | V3Lexer_pregen.yy.cpp:242: note: the first difference of corresponding definitions is field ‘yy_input_file’ 242 | std::streambuf* yy_input_file; | V3PreLex_pregen.yy.cpp:491: note: a field of same name but different type is defined in another translation unit 491 | FILE *yy_input_file; | V3Lexer_pregen.yy.cpp:236: note: type name ‘std::basic_streambuf >’ should match type name ‘_IO_FILE’ 236 | struct yy_buffer_state | make[4]: Leaving directory '/<>/src/obj_opt' make[4]: Leaving directory '/<>/src/obj_dbg' make[3]: Leaving directory '/<>/src' Build complete! Now type 'make test' to test. make[2]: Leaving directory '/<>' ########################################## # Build sphinx based HTML documentation. # ########################################## cd docs && make html make[2]: Entering directory '/<>/docs' make vl-extract make[3]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/<>/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v5.3.0 making output directory... done building [mo]: targets for 0 po files that are out of date building [html]: targets for 30 source files that are out of date updating environment: [new config] 30 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 6%] connecting reading sources... [ 10%] contributing reading sources... [ 13%] contributors reading sources... [ 16%] copyright reading sources... [ 20%] deprecations reading sources... [ 23%] environment reading sources... [ 26%] example_binary reading sources... [ 30%] example_cc reading sources... [ 33%] example_common_install reading sources... [ 36%] example_dist reading sources... [ 40%] example_sc reading sources... [ 43%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 56%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 63%] executables reading sources... [ 66%] extensions reading sources... [ 70%] faq reading sources... [ 73%] files reading sources... [ 76%] index reading sources... [ 80%] install reading sources... [ 83%] install-cmake reading sources... [ 86%] languages reading sources... [ 90%] overview reading sources... [ 93%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings /<>/docs/guide/simulating.rst:503: WARNING: malformed hyperlink target. looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done writing output... [ 3%] changes writing output... [ 6%] connecting writing output... [ 10%] contributing writing output... [ 13%] contributors writing output... [ 16%] copyright writing output... [ 20%] deprecations writing output... [ 23%] environment writing output... [ 26%] example_binary writing output... [ 30%] example_cc writing output... [ 33%] example_common_install writing output... [ 36%] example_dist writing output... [ 40%] example_sc writing output... [ 43%] examples writing output... [ 46%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 56%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfunc writing output... [ 63%] executables writing output... [ 66%] extensions writing output... [ 70%] faq writing output... [ 73%] files writing output... [ 76%] index writing output... [ 80%] install writing output... [ 83%] install-cmake writing output... [ 86%] languages writing output... [ 90%] overview writing output... [ 93%] simulating writing output... [ 96%] verilating writing output... [100%] warnings generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png copying static files... done copying extra files... done dumping search index in English (code: en)... done dumping object inventory... done build succeeded, 1 warning. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/<>/docs' ######################################### # Build sphinx based PDF documentation. # ######################################### cd docs && make pdf make[2]: Entering directory '/<>/docs' make vl-extract make[3]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/<>/docs' make latex make[3]: Entering directory '/<>/docs' make vl-extract make[4]: Entering directory '/<>/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[4]: Leaving directory '/<>/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v5.3.0 making output directory... done loading pickled environment... done building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: 0 added, 3 changed, 0 removed reading sources... [ 33%] changes reading sources... [ 66%] exe_sim reading sources... [100%] exe_verilator looking for now-outdated files... none found pickling environment... done checking consistency... done processing verilator.tex... index overview examples example_binary example_cc example_sc example_dist install install-cmake verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfunc exe_sim warnings files environment deprecations contributors changes copyright resolving references... done writing... done copying images... [100%] figures/fig_gantt_min.png copying TeX support files... copying TeX support files... done build succeeded. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[3]: Leaving directory '/<>/docs' python3 bin/vl_sphinx_fix _build make -C _build/latex make[3]: Entering directory '/<>/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: /etc/LatexMk latexmkrc Latexmk: This is Latexmk, John Collins, 4 Apr. 2023. Version 4.80. No existing .aux file, so I'll make a simple one, and require run of *latex. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Category 'other': Rerun of 'pdflatex' forced or previously required ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] No file verilator.toc. [1] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 150. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 162. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 166. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 170. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 181. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 184. LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 2 undef ined on input line 225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 2 undefined on input line 230. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 2 undefin ed on input line 235. [2] LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 276. [3] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 4 undefined on input line 331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 4 undefine d on input line 335. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 4 undefi ned on input line 340. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 4 undefined on input line 342. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 4 undefined on input line 346. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 4 undefin ed on input line 351. LaTeX Warning: Hyper reference `files:files-read-written' on page 4 undefined o n input line 369. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 4 undef ined on input line 399. LaTeX Warning: Hyper reference `install:installation' on page 4 undefined on in put line 403. [4] [5] [6] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 7 undefined on inp ut line 563. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 7 undefined on input line 578. [7] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 8 undefined on input line 615. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 686. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 687. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 737. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 738. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 738. [9] LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 761. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 10 undefined on input line 762. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 784. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 810. [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 851. [11] [12] [13] Chapter 4. LaTeX Warning: Hyper reference `install:obtain-sources' on page 14 undefined on input line 1061. [14] [15] Chapter 5. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1115. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1115. LaTeX Warning: Hyper reference `verilating:c-and-systemc-generation' on page 16 undefined on input line 1116. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 16 u ndefined on input line 1120. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 16 un defined on input line 1125. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 16 undefined on input line 1131. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 16 undefined on input line 1153. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 16 und efined on input line 1154. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 16 undefi ned on input line 1160. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 16 unde fined on input line 1161. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 16 undefin ed on input line 1166. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 16 undef ined on input line 1172. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 16 undefined on in put line 1172. LaTeX Warning: Hyper reference `verilating:cmake' on page 16 undefined on input line 1173. LaTeX Warning: Hyper reference `simulating:simulating' on page 16 undefined on input line 1178. [16] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1196. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1198. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 17 undefined on input line 1209. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 17 undefined on input line 1213. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 17 u ndefined on input line 1213. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 7 undefined on input line 1218. Underfull \hbox (badness 8019) in paragraph at lines 1271--1274 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 18 undefined on input line 1285. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 18 undefined on input line 1291. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 18 undef ined on input line 1295. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1340. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1347. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 18 undefined on input line 1367. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 18 undef ined on input line 1372. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1374. [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1377. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 19 u ndefined on input line 1377. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1379. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1379. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1384. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1388. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1394. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1402. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1406. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 19 u ndefined on input line 1427. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 19 un defined on input line 1438. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-dpi' on page 19 undefined on input line 1442. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 19 und efined on input line 1445. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 19 undefine d on input line 1449. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 19 undef ined on input line 1453. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 19 undefin ed on input line 1457. [19] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 20 unde fined on input line 1480. [20] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 21 und efined on input line 1698. [21] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 22 undefined on input line 1710. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 22 undefi ned on input line 1761. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 22 unde fined on input line 1762. [22] Chapter 6. LaTeX Warning: Hyper reference `files:files-read-written' on page 23 undefined on input line 1850. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1925--1929 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 24 undefine d on input line 1938. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 24 unde fined on input line 1952. LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 24 undefine d on input line 1955. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 24 undefine d on input line 1961. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 24 u ndefined on input line 1969. [24] [25] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 26 undefined on input line 2145. [26] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 27 undefin ed on input line 2218. [27] [28] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 29 undefi ned on input line 2352. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2352. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2358. [29] [30] Chapter 7. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 3 1 undefined on input line 2408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 31 undefine d on input line 2414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 31 u ndefined on input line 2416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 31 unde fined on input line 2417. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 31 undefine d on input line 2417. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2419. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2420. LaTeX Warning: Hyper reference `verilating:multithreading' on page 31 undefined on input line 2426. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 31 undefined on input line 2427. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 31 un defined on input line 2431. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 3 1 undefined on input line 2459. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 31 unde fined on input line 2466. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 31 und efined on input line 2466. [31] LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 32 undefined o n input line 2502. Underfull \hbox (badness 10000) in paragraph at lines 2515--2520 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS LaTeX Warning: Hyper reference `simulating:profiling' on page 32 undefined on i nput line 2523. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2535. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 32 undefined on input line 2540. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 32 undefined on input line 2544. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 32 undefine d on input line 2548. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 32 unde fined on input line 2554. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2560. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 32 undefined on input line 2560. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 32 unde fined on input line 2566. [32] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 33 un defined on input line 2580. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 33 undefined on input line 2580. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 33 undefined on input line 2589. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 33 undefined on input line 2591. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 33 undefined on input line 2592. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 33 un defined on input line 2597. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 33 un defined on input line 2605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 33 undefined on input line 2605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 33 undefined on input line 2615. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 33 undefined on input line 2616. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 33 undefined on input line 2641. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 33 undefined on input line 2642. [33] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 34 undefined on input line 2703. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 34 u ndefined on input line 2733. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 34 undefined on input line 2742. Underfull \hbox (badness 6157) in paragraph at lines 2740--2743 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with \T1/txtt/m/it/10 +ver-i-la- [34] [35 <./fig_gantt_min.png>] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 36 und efined on input line 2817. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 36 und efined on input line 2818. [36] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 37 undefined on input line 2861. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 37 undefined o n input line 2865. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 37 un defined on input line 2891. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 37 un defined on input line 2900. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-PROFOUTOFDATE' on page 3 7 undefined on input line 2918. [37] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 38 unde fined on input line 3007. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 38 und efined on input line 3007. [38] Chapter 8. LaTeX Warning: Hyper reference `languages:language-limitations' on page 39 unde fined on input line 3027. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 39 undef ined on input line 3030. [39] [40] [41] Chapter 9. [42] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 43 undef ined on input line 3350. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 43 undefined on input line 3353. [43] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 44 undef ined on input line 3364. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 44 unde fined on input line 3406. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 44 undefin ed on input line 3406. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 44 undef ined on input line 3419. [44] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 45 unde fined on input line 3465. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 45 undefin ed on input line 3466. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 45 u ndefined on input line 3484. [45] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 46 undefined on input line 3530. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 46 undefined on input line 3536. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 46 undefined on input line 3537. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 46 undefined on input line 3597. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 46 unde fined on input line 3605. [46] LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 47 undefine d on input line 3612. (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 47 undefin ed on input line 3628. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 47 unde fined on input line 3629. LaTeX Warning: Hyper reference `connecting:connecting' on page 47 undefined on input line 3647. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 7 undefined on input line 3665. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 7 undefined on input line 3673. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 7 undefined on input line 3686. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 47 undefined on input line 3692. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 47 undefined on input line 3699. [47] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 48 undefined on input line 3741. [48] [49] Chapter 10. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 50 u ndefined on input line 3825. [50] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 51 un defined on input line 3892. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 51 un defined on input line 3893. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 51 unde fined on input line 3903. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 3925. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ZERODLY' on page 51 unde fined on input line 3957. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-RISEFALLDLY' on page 51 undefined on input line 3964. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MINTYPMAX' on page 51 un defined on input line 3968. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 3971. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 51 undefi ned on input line 3971. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 51 undef ined on input line 3974. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 51 u ndefined on input line 3977. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NOTIMING' on page 51 und efined on input line 3977. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 51 unde fined on input line 3983. [51] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 52 un defined on input line 3988. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 52 undefined on input line 4013. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 52 unde fined on input line 4018. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 52 u ndefined on input line 4018. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NEEDTIMINGOPT' on page 5 2 undefined on input line 4019. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 52 undefined on input line 4032. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 52 undefined on input line 4038. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 52 undefined on input line 4039. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 52 unde fined on input line 4041. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 52 u ndefined on input line 4041. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 52 undefined on input line 4042. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 52 undefined on input line 4042. [52] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 53 un defined on input line 4147. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 53 u ndefined on input line 4156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 53 un defined on input line 4167. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 53 undefined on input line 4174. [53] [54] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 55 undefined on input line 4302. [55] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 56 undef ined on input line 4402. [56] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 57 undefined on input line 4439. [57] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 58 u ndefined on input line 4481. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 58 u ndefined on input line 4495. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 58 undefined on input line 4570. [58] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 59 undefined on input line 4632. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 59 u ndefined on input line 4632. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4644. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4645. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4660. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4661. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4676. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4677. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4692. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4693. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4708. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4709. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4724. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4725. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 59 undefined on input line 4733. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 59 un defined on input line 4747. [59] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 60 un defined on input line 4790. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 60 u ndefined on input line 4791. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 60 unde fined on input line 4803. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 60 undefined on input line 4805. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 60 undefined on input line 4819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 60 undefined on input line 4857. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 60 undefin ed on input line 4878. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clocker' on page 60 undefined on input line 4881. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_clocker' on page 60 undefined on input line 4881. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 60 undefined on input line 4898. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 60 un defined on input line 4924. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 60 undefined on input line 4925. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 60 undefined on input line 4940. [60] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-forceable' on page 61 undefined on input line 4950. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 1 undefined on input line 4966. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 61 undefined on input line 4969. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 61 unde fined on input line 4983. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 61 undefined on input line 4988. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 61 un defined on input line 5002. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-isolate_assignments ' on page 61 undefined on input line 5043. [61] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 62 undefined on input line 5124. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 62 undefined on input line 5144. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 62 undefined on input line 5203. [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 63 undefined on input line 5239. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 63 undefined on input line 5258. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 63 undefined on input line 5274. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 63 undefined on input line 5290. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 63 undefined on input line 5293. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 63 unde fined on input line 5357. [63] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 64 undefined on input line 5360. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sc_bv' on page 64 u ndefined on input line 5396. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sformat' on page 64 undefined on input line 5415. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 64 un defined on input line 5430. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-split_var' on page 64 undefined on input line 5461. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 64 u ndefined on input line 5489. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 64 undefined on input line 5493. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 64 undefined on input line 5506. [64] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_on' on page 65 undefined on input line 5509. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 65 undefined on input line 5523. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 5 undefined on input line 5524. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 65 undef ined on input line 5524. [65] Chapter 12. [66] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [67] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [68] [69] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5805. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 70 unde fined on input line 5811. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 70 und efined on input line 5811. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 70 und efined on input line 5826. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5833. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 70 undefined on input line 5904. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 70 undefined on input line 5904. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 70 undefined on input line 5905. Underfull \hbox (badness 7221) in paragraph at lines 5902--5906 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/it/10 +ver-ilog1995ext+\T1/qtm/m/n/10 , \T1/txtt/m/it/ 10 +ver- LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 70 undefined on input line 5910. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 70 un defined on input line 5910. [70] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 71 undefi ned on input line 6011. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 71 undefin ed on input line 6012. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6012. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 71 unde fined on input line 6012. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 71 undefined on input line 6015. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 71 undefin ed on input line 6028. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6060. LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKEFLAGS' on page 71 undefined on input line 6067. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 71 undefined on input line 6071. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 71 undefine d on input line 6083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 71 undefin ed on input line 6115. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 71 undefined on input line 6121. [71] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 72 undefin ed on input line 6147. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 72 undefined on input line 6207. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 72 undefined on input line 6208. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 72 undefined on input line 6208. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 72 undefined on input line 6220. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 72 undefine d on input line 6246. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 72 undefined on input line 6259. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 72 undefined on input line 6272. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-define-var' on page 72 undefined on input line 6285. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 72 unde fined on input line 6309. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 73 undefined on input line 6313. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 73 undefined on input line 6318. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 73 undefined on input line 6323. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6343. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6356. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6362. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6365. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 73 unde fined on input line 6394. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6395. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 73 undefined on input line 6431. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 73 undefined on input line 6434. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 73 undef ined on input line 6465. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 73 undef ined on input line 6466. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 73 undefi ned on input line 6481. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 73 undefined on input line 6493. [73] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6526. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6541. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 74 undef ined on input line 6542. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6543. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6557. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 74 undefined on input line 6558. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-std' on page 74 unde fined on input line 6657. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 7 4 undefined on input line 6660. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 74 undefined on input line 6660. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 74 undefined on input line 6661. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 74 undefined on input line 6678. [74] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 75 undefined on input line 6720. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 75 undefined on input line 6721. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 75 undefined on input line 6735. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 75 undefined on input line 6735. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 75 un defined on input line 6774. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-pre-inline' on page 75 undefined on input line 6852. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-post-inline' on page 75 undefined on input line 6852. [75] [76] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 77 undef ined on input line 7159. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 77 undef ined on input line 7171. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 77 undef ined on input line 7173. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 77 undefin ed on input line 7175. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 77 undefined on input line 7187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 77 undefined on input line 7204. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 77 undefined on input line 7218. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 77 undefined on input line 7247. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 77 undefined on input line 7248. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7260. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7285. [77] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 78 undefined on input line 7332. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-verilate-jobs' on page 78 undefined on input line 7333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 78 undefined on input line 7367. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 78 und efined on input line 7388. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 78 undefined on input line 7410. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 78 undefined on input line 7410. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 78 undefined on input line 7413. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale-override' on page 78 undefined on input line 7414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 78 unde fined on input line 7419. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 78 undefined on input line 7422. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 78 undefi ned on input line 7453. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 78 un defined on input line 7458. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 78 undefined on input line 7458. [78] LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKE' on page 79 unde fined on input line 7476. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7479. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 79 undefi ned on input line 7480. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7481. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7493. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 79 unde fined on input line 7521. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7522. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 79 undefine d on input line 7525. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 79 unde fined on input line 7528. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 79 undefine d on input line 7595. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 79 undefine d on input line 7595. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 79 unde fined on input line 7608. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 79 undefin ed on input line 7620. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 79 unde fined on input line 7658. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 79 undefine d on input line 7659. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 79 undefined on input line 7661. [79] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 80 undefin ed on input line 7689. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 80 unde fined on input line 7690. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7727. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7749. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7752. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7752. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7756. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 80 undefined on input line 7769. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7773. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 80 undefined on input line 7786. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 8 0 undefined on input line 7804. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 80 undefined on input line 7819. [80] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 8 1 undefined on input line 7834. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7849. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7863. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7876. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 81 undefined on input line 7904. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 81 undefined on input line 7917. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 81 undefin ed on input line 7930. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 81 unde fined on input line 7943. LaTeX Warning: Hyper reference `simulating:profiling' on page 81 undefined on i nput line 7957. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 81 undefined on input line 7960. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 81 unde fined on input line 7960. LaTeX Warning: Hyper reference `simulating:profiling' on page 81 undefined on i nput line 7978. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 81 undefined on input line 7981. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 81 unde fined on input line 7981. LaTeX Warning: Hyper reference `simulating:execution-profiling' on page 81 unde fined on input line 7994. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 81 und efined on input line 8007. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 81 undefined on input line 8008. [81] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8036. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 82 undefined on input line 8062. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8067. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8072. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 82 undefined on input line 8088. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 82 undefined on input line 8089. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 82 undefined on input line 8090. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 82 undefined on input line 8090. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 82 unde fined on input line 8099. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 82 undefined on input line 8116. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 82 undefined on input line 8118. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 82 undefined on input line 8120. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 82 undefin ed on input line 8136. Underfull \hbox (badness 7576) in paragraph at lines 8130--8140 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 82 undefined on input line 8151. [82] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 83 unde fined on input line 8180. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 83 un defined on input line 8236. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 83 u ndefined on input line 8244. LaTeX Warning: Hyper reference `simulating:save-restore' on page 83 undefined o n input line 8292. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 83 undefine d on input line 8304. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 83 undefine d on input line 8327. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 83 undefine d on input line 8328. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 83 undef ined on input line 8354. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 83 undef ined on input line 8355. [83] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNPACKED' on page 84 und efined on input line 8380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 84 un defined on input line 8395. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2017ext-ext' o n page 84 undefined on input line 8408. LaTeX Warning: Hyper reference `verilating:multithreading' on page 84 undefined on input line 8423. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 84 undef ined on input line 8424. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 84 u ndefined on input line 8424. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8469. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 84 undefined on input line 8493. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8505. [84] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 85 unde fined on input line 8597. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 85 und efined on input line 8599. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 85 u ndefined on input line 8612. Underfull \hbox (badness 7981) in paragraph at lines 8614--8622 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und efined on input line 8628. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und efined on input line 8629. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 85 undef ined on input line 8641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 85 undefined on input line 8642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 85 undefined on input line 8643. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 85 undefined on input line 8644. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 85 undefined on input line 8664. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 85 undef ined on input line 8680. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 85 undefined on input line 8680. [85] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 86 u ndefined on input line 8749. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 86 undefined on input line 8750. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 86 undef ined on input line 8754. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 86 und efined on input line 8754. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 86 undefined on input line 8768. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 86 undefined on input line 8806. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 86 undefined on input line 8819. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSED' on page 86 undef ined on input line 8832. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 86 unde fined on input line 8847. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 86 undef ined on input line 8873. [86] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 87 undefined on input line 8893. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o n page 87 undefined on input line 8905. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o n page 87 undefined on input line 8917. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 87 u ndefined on input line 8960. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 87 undefined on input line 8977. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 87 undefined on input line 8978. Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL [87] Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 88 undefined on input line 9108. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNIN' on page 88 und efined on input line 9113. Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]ASCRANGE []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn []UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9152--9158 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 88 undefined on input line 9211. [88] LaTeX Warning: Hyper reference `languages:unknown-states' on page 89 undefined on input line 9265. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 89 undefined on input line 9279. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 89 undefined on input line 9315. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page 89 undefined on input line 9321. [89] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 90 un defined on input line 9354. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 90 undefined on input line 9367. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 90 undefined on input line 9368. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 90 undef ined on input line 9368. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 90 undefined on input line 9369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 90 undefined on input line 9369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 90 undef ined on input line 9370. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 90 undefi ned on input line 9374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilator_config' o n page 90 undefined on input line 9389. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 90 undefined on input line 9466. [90] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 91 undefin ed on input line 9507. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 91 undefined on input line 9510. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 91 undefined on input line 9536. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 91 undefined on input line 9550. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-forceable' on pa ge 91 undefined on input line 9553. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 9 1 undefined on input line 9592. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 91 undefined on input line 9593. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 91 undefined on input line 9606. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 91 undefined on input line 9638. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 91 undefined on input line 9651. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 91 undefined on input line 9680. [91] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 92 un defined on input line 9720. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 92 undefined on input line 9771. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on page 92 undefined on input line 9772. LaTeX Warning: Hyper reference `connecting:vpi-example' on page 92 undefined on input line 9773. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 92 undefined on input line 9787. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 9 2 undefined on input line 9809. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 92 undefined on input line 9838. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 92 un defined on input line 9861. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 92 undefined on input line 9864. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 92 u ndefined on input line 9888. [92] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_on' on pa ge 93 undefined on input line 9892. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 93 undefined on input line 9893. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 93 undefined on input line 9988. LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 93 undefi ned on input line 9992. [93] LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-annotate-points' on page 94 undefined on input line 10053. LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-write' on page 94 undefined on input line 10141. [94] LaTeX Warning: Hyper reference `simulating:profiling' on page 95 undefined on i nput line 10204. [95] [96] LaTeX Warning: Hyper reference `simulating:profiling' on page 97 undefined on i nput line 10342. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o n page 97 undefined on input line 10415. [97] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 98 u ndefined on input line 10465. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 98 u ndefined on input line 10479. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 98 u ndefined on input line 10496. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 98 undefined on input line 10497. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 98 undefined on input line 10513. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 98 undefined on input line 10525. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-windo w-value' on page 98 undefined on input line 10537. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 98 un defined on input line 10549. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 98 u ndefined on input line 10564. LaTeX Warning: Hyper reference `languages:unknown-states' on page 98 undefined on input line 10566. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 98 u ndefined on input line 10578. [98] Chapter 13. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 99 u ndefined on input line 10649. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-lint_off' on page 9 9 undefined on input line 10650. [99] LaTeX Warning: Hyper reference `languages:language-limitations' on page 100 und efined on input line 10716. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 100 undefined on input line 10792. [100] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 1 01 undefined on input line 10910. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 1 01 undefined on input line 10910. [101] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 102 und efined on input line 10984. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 102 undefi ned on input line 11098. [102] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 103 u ndefined on input line 11199. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 103 u ndefined on input line 11200. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 103 u ndefined on input line 11201. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 103 undefine d on input line 11220. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 103 undefine d on input line 11222. [103] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 104 u ndefined on input line 11343. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 10 4 undefined on input line 11374. [104] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 105 undefined on input line 11399. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 105 u ndefined on input line 11400. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 105 und efined on input line 11401. [105] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 106 undefined on input line 11572. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 106 u ndefined on input line 11586. [106] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 107 undef ined on input line 11659. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 107 undefined on input line 11755. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 107 undefined on input line 11800. [107] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 108 und efined on input line 11819. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 108 un defined on input line 11876. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-LITENDIAN' on page 108 u ndefined on input line 11876. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 108 un defined on input line 11877. [108] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 109 undefine d on input line 11983. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 109 undefi ned on input line 11989. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 109 und efined on input line 12017. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 109 undefined on input line 12017. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 109 u ndefined on input line 12030. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 109 u ndefined on input line 12037. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 109 und efined on input line 12071. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 109 undefined on input line 12073. [109] [110] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 111 undefined on input line 12280. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-profile_data' on pa ge 111 undefined on input line 12281. [111] [112] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 113 undefined on input line 12519. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 113 undefined on input line 12608. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 113 und efined on input line 12629. [113] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page 114 undefined on input line 12747. [114] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 115 undefined on input line 12771. [115] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 116 undefined on input line 12902. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 116 undefined on input line 12904. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 116 undefined on input line 12905. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 116 undefined on input line 12910. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 116 undefined on input line 12919. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 116 un defined on input line 12942. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on page 116 undefined on input line 12946. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 116 undefined on input line 13015. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 116 undefined on input line 13017. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDGENVAR' on page 11 6 undefined on input line 13030. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDPARAM' on page 116 undefined on input line 13030. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDSIGNAL' on page 11 6 undefined on input line 13031. [116] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 117 undefined on input line 13073. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 117 undefined on input line 13096. [117] [118] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 119 und efined on input line 13450. [119] [120] Chapter 14. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 121 undef ined on input line 13486. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13683--13683 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [121] [122] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 123 undefined on input line 13921. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 123 undefined on input line 13921. [123] Chapter 15. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 124 unde fined on input line 13977. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 124 undefined on input line 13993. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 124 undefined on input line 14022. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 124 undefined on input line 14023. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 124 undefined on input line 14038. [124] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 125 undefi ned on input line 14127. LaTeX Warning: Hyper reference `install:installation' on page 125 undefined on input line 14175. [125] Chapter 16. [126] Chapter 17. [127] [128] [129] Chapter 18. [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] Underfull \hbox (badness 10000) in paragraph at lines 19310--19313 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] Chapter 19. [219] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 tcrm1000 mkdir: cannot create directory ‘././sbuild-nonexistent’: Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input tcrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2022/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymb.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txpseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [27] [29]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txgen.mf Ok [100] [109] [98] [99] [108]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymbol.mf Ok [13] [18] [21] [22] [23] [24] [25] [26] [28] [31] [32] [36] [39] [44] [45] [46] [42] [47] [60] [61] [62] [77] [79] [87] [110] [91] [93] [94] [95] [96] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [171] [172] [173] [174] [175] [177] [176] [180] [181] [182] [183] [184] [187] [191] [214] [246]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txromod.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrsuper.mf Ok [185] [178] [179] [170] [186]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrfract.mf Ok [188] [189] [190]) ) ) ) (some charht values had to be adjusted by as much as 0.06943pt) Font metrics written on tcrm1000.tfm. Output written on tcrm1000.600gf (128 characters, 23548 bytes). Transcript written on tcrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/tcrm1000.600pk: successfully generated. kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 ecrm1000 mkdir: cannot create directory ‘././sbuild-nonexistent’: Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input ecrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2022/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exroman.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exruwest.mf Ok [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlwest.mf Ok [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250] [251] [252] [253] [254] [255]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrueast.mf Ok [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrleast.mf Ok [158] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbraces.mf Ok [94] [126] [23] [40] [41] [60] [124] [62] [91] [93] [92] [123] [125] [95] [127] [32]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expunct.mf Ok [14] [15] [19] [20] [13] [18] [33] [39] [42] [43] [44] [46] [47] [58] [59] [61] [96] [189] [17] [45] [16] [21] [22]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exsign.mf Ok [24] [34] [35] [36] [37] [64] [191] [159]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlig.mf Ok [25] [26] [28] [27] [29] [30] [31]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exromp.mf Ok [38] [63] [190]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrulett.mf Ok [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrllett.mf Ok [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrdigit.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrligtb.mf Ok) ) ) ) (some charht values had to be adjusted by as much as 0.06586pt) Font metrics written on ecrm1000.tfm. Output written on ecrm1000.600gf (256 characters, 51184 bytes). Transcript written on ecrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/ecrm1000.600pk: successfully generated. Output written on verilator.pdf (221 pages, 752864 bytes). Transcript written on verilator.log. Latexmk: Missing input file 'verilator.toc' (or dependence on it) from following: No file verilator.toc. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux verilator.out verilator.toc ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. [2] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 851. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1271--1274 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] Chapter 6. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1925--1929 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [24] [25] [26] [27] [28] [29] [30] Chapter 7. [31] Underfull \hbox (badness 10000) in paragraph at lines 2515--2520 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [32] [33] Underfull \hbox (badness 6204) in paragraph at lines 2740--2743 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [34] [35 <./fig_gantt_min.png>] [36] [37] [38] Chapter 8. [39] [40] [41] Chapter 9. [42] [43] [44] [45] [46] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [47] [48] [49] Chapter 10. [50] [51] [52] [53] [54] [55] [56] [57] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [58] [59] [60] [61] [62] [63] [64] [65] Chapter 12. [66] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [67] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [68] [69] Underfull \hbox (badness 7221) in paragraph at lines 5902--5906 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] Underfull \hbox (badness 7576) in paragraph at lines 8130--8140 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [82] [83] [84] Underfull \hbox (badness 7981) in paragraph at lines 8614--8622 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [85] [86] Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL [87] Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]ASCRANGE []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn []UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9152--9158 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] Chapter 13. [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] Chapter 14. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13683--13683 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [121] [122] [123] Chapter 15. [124] [125] Chapter 16. [126] Chapter 17. [127] [128] [129] Chapter 18. [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] Underfull \hbox (badness 10000) in paragraph at lines 19310--19313 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] Chapter 19. [219] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} Output written on verilator.pdf (222 pages, 809268 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. [2] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 851. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1271--1274 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] Chapter 6. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1925--1929 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [24] [25] [26] [27] [28] [29] [30] Chapter 7. [31] Underfull \hbox (badness 10000) in paragraph at lines 2515--2520 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [32] [33] Underfull \hbox (badness 6204) in paragraph at lines 2740--2743 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [34] [35 <./fig_gantt_min.png>] [36] [37] [38] Chapter 8. [39] [40] [41] Chapter 9. [42] [43] [44] [45] [46] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [47] [48] [49] Chapter 10. [50] [51] [52] [53] [54] [55] [56] [57] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [58] [59] [60] [61] [62] [63] [64] [65] Chapter 12. [66] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [67] Underfull \vbox (badness 10000) detected at line 5783 Underfull \vbox (badness 10000) detected at line 5783 [68] [69] Underfull \hbox (badness 7221) in paragraph at lines 5902--5906 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] Underfull \hbox (badness 7576) in paragraph at lines 8130--8140 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [82] [83] [84] Underfull \hbox (badness 7981) in paragraph at lines 8614--8622 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [85] [86] Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASE INCOMPLETE Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]COLONPLUS []Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]STATICVAR []Wno[]SYNCASYNCNET []Wno[] UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9064--9073 []\T1/txtt/m/it/10 Wno[]UNSIGNED []Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[] UNUSEDSIGNAL [87] Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 9087--9095 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 9135--9143 []\T1/txtt/m/it/10 Wwarn[]ASCRANGE []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwarn []UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9152--9158 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9152--9158 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] Chapter 13. [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] Chapter 14. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13683--13683 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [121] [122] [123] Chapter 15. [124] [125] Chapter 16. [126] Chapter 17. [127] [128] [129] Chapter 18. [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] Underfull \hbox (badness 10000) in paragraph at lines 19310--19313 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] Chapter 19. [219] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} Output written on verilator.pdf (222 pages, 809268 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: All targets () are up-to-date make[3]: Leaving directory '/<>/docs/_build/latex' cp _build/latex/verilator.pdf .. make[2]: Leaving directory '/<>/docs' make[1]: Leaving directory '/<>' debian/rules override_dh_auto_test make[1]: Entering directory '/<>' dh_auto_test make -j4 test "TESTSUITEFLAGS=-j4 --verbose" VERBOSE=1 make[2]: Entering directory '/<>' ------------------------------------------------------------ making verilator in src make -C src make[3]: Entering directory '/<>/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/<>/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make[4]: Entering directory '/<>/src/obj_dbg' make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/<>/src/obj_dbg' make[4]: Nothing to be done for 'serial_vlcov'. make[4]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_dbg' make[4]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_dbg' make[4]: Entering directory '/<>/src/obj_opt' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/<>/src/obj_opt' make[3]: Leaving directory '/<>/src' test_regress/t/t_a1_first_cc.pl for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[3]: Entering directory '/<>/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /<>/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[4]: Entering directory '/<>/examples/make_hello_c' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o sim_main.o ../sim_main.cpp ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) Verilator 5.012 2023-06-13 rev (Debian 5.012-1) Copyright 2003-2023 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 1 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_BIN = VERILATOR_ROOT = /<>/test_regress/.. Supported features (compiled-in or forced by environment): COROUTINES = 1 SYSTEMC = 1 perl /<>/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) make -C obj_vlt/t_a1_first_cc -f /<>/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/<>/test_regress/obj_vlt/t_a1_first_cc' make[3]: Entering directory '/<>/test_regress' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated.o /<>/include/verilated.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -c -o verilated.o /<>/test_regress/../include/verilated.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated_threads.o /<>/include/verilated_threads.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -c -o verilated_vcd_c.o /<>/test_regress/../include/verilated_vcd_c.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_c' make[3]: Entering directory '/<>/examples/make_hello_sc' g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -c -o verilated_threads.o /<>/test_regress/../include/verilated_threads.cpp -- Verilator hello-world simple example -- VERILATE & COMPILE ------ /<>/bin/verilator -sc --exe --build -j top.v sc_main.cpp make[4]: Entering directory '/<>/examples/make_hello_sc' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o sc_main.o ../sc_main.cpp /usr/bin/python3 /<>/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hbad1107f__0.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated.o /<>/include/verilated.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a -pthread -lpthread -latomic -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp make[3]: Leaving directory '/<>/test_regress/obj_vlt/t_a1_first_cc' driver: Leaving directory '/<>/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:26 ==SUMMARY: Passed 1 Failed 0 Time 0:26 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:26 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== perl /<>/test_regress/../bin/verilator --prefix Vt_a2_first_sc ../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a2_first_sc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree -sc --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a2_first_sc +define+TEST_DUMPFILE=obj_vlt/t_a2_first_sc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a2_first_sc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) Starting Verilator 5.012 2023-06-13 rev (Debian 5.012-1) make -C obj_vlt/t_a2_first_sc -f /<>/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a2_first_sc TEST_OBJ_DIR=obj_vlt/t_a2_first_sc CPPFLAGS_DRIVER=-DT_A2_FIRST_SC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_gcc.log driver: Entering directory '/<>/test_regress/obj_vlt/t_a2_first_sc' make[3]: Entering directory '/<>/test_regress' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o Vt_a2_first_sc__main.o ../../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated_threads.o /<>/include/verilated_threads.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -c -o verilated.o /<>/test_regress/../include/verilated.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sc_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -lsystemc -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_sc/obj_dir' -- RUN --------------------- obj_dir/Vtop SystemC 2.3.4-Accellera --- Jun 22 2023 20:13:58 Copyright (c) 1996-2022 by all Contributors, ALL RIGHTS RESERVED Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_sc. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_sc' make[3]: Entering directory '/<>/examples/cmake_hello_c' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_hello_c' make[3]: Entering directory '/<>/examples/cmake_hello_sc' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_hello_sc' make[3]: Entering directory '/<>/examples/cmake_protect_lib' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_protect_lib' make[3]: Entering directory '/<>/examples/cmake_tracing_c' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_tracing_c' make[3]: Entering directory '/<>/examples/cmake_tracing_sc' %Skip: CMake has not been found make[3]: Leaving directory '/<>/examples/cmake_tracing_sc' make[3]: Entering directory '/<>/examples/make_hello_binary' -- Verilator hello-world simple binary example -- VERILATE & BUILD -------- /<>/bin/verilator --binary -j 0 top.v make[4]: Entering directory '/<>/examples/make_hello_binary' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -c -o verilated_vcd_c.o /<>/test_regress/../include/verilated_vcd_c.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -c -o verilated_threads.o /<>/test_regress/../include/verilated_threads.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop /usr/bin/python3 /<>/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a2_first_sc.cpp Vt_a2_first_sc___024root__DepSet_h29788550__0.cpp Vt_a2_first_sc___024root__DepSet_ha2dbc04d__0.cpp Vt_a2_first_sc__Trace__0.cpp Vt_a2_first_sc___024root__Slow.cpp Vt_a2_first_sc___024root__DepSet_h29788550__0__Slow.cpp Vt_a2_first_sc___024root__DepSet_ha2dbc04d__0__Slow.cpp Vt_a2_first_sc__Syms.cpp Vt_a2_first_sc__Trace__0__Slow.cpp > Vt_a2_first_sc__ALL.cpp g++ -O0 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/test_regress/../include -I/<>/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A2_FIRST_SC -c -o Vt_a2_first_sc__ALL.o Vt_a2_first_sc__ALL.cpp rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_hello_binary/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_hello_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/<>/examples/make_hello_binary' make[3]: Entering directory '/<>/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /<>/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[4]: Entering directory '/<>/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSYCDY__DepSet_h30fd4eb8__0.cpp Vsecret_impl_PSYCDY__DepSet_hbe31cdce__0.cpp Vsecret_impl_PSYCDY__Slow.cpp Vsecret_impl_PSYCDY__DepSet_h30fd4eb8__0__Slow.cpp Vsecret_impl_PSYCDY__DepSet_hbe31cdce__0__Slow.cpp Vsecret_impl_PS1zkj.cpp > Vsecret_impl__ALL.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -c -o verilated_secret.o verilated_secret.cpp echo "" > libverilated_secret.verilator_deplist.tmp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp echo "" > Vt_a2_first_sc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a2_first_sc__ALL.a Vt_a2_first_sc__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now Vt_a2_first_sc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a2_first_sc__ALL.a -pthread -lpthread -latomic -lsystemc -o Vt_a2_first_sc rm Vt_a2_first_sc__ALL.verilator_deplist.tmp make[3]: Leaving directory '/<>/test_regress/obj_vlt/t_a2_first_sc' driver: Leaving directory '/<>/test_regress/obj_vlt/t_a2_first_sc' obj_vlt/t_a2_first_sc/Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a2_first_sc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:28 ==SUMMARY: Passed 1 Failed 0 Time 0:28 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:28 Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o rm libverilated_secret.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /<>/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[4]: Entering directory '/<>/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated_dpi.o /<>/include/verilated_dpi.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=2756837218 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_protect_lib' make[3]: Entering directory '/<>/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /<>/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[4]: Entering directory '/<>/examples/make_tracing_c' make[4]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /<>/include/verilated_cov.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /<>/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/28) 7.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_tracing_c' make[3]: Entering directory '/<>/examples/make_tracing_sc' -- Verilator tracing example -- VERILATE ---------------- /<>/bin/verilator -sc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sc_main.cpp -- COMPILE ----------------- make -j -C obj_dir -f ../Makefile_obj make[4]: Entering directory '/<>/examples/make_tracing_sc' make[4]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -Os -fstrict-aliasing -c -o sc_main.o ../sc_main.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated.o /<>/include/verilated.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_cov.o /<>/include/verilated_cov.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_vcd_c.o /<>/include/verilated_vcd_c.cpp g++ -Os -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o verilated_threads.o /<>/include/verilated_threads.cpp /usr/bin/python3 /<>/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/<>/include -I/<>/include/vltstd -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-Bsymbolic-functions -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now sc_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -lsystemc -o Vtop In member function ‘deallocate’, inlined from ‘deallocate’ at /usr/include/c++/12/bits/alloc_traits.h:496:23, inlined from ‘_M_destroy’ at /usr/include/c++/12/bits/basic_string.h:300:34, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:294:14, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:291:7, inlined from ‘__dt_base ’ at /usr/include/c++/12/bits/basic_string.h:803:19, inlined from ‘__dt_base ’ at /usr/include/c++/12/bits/stl_pair.h:185:12, inlined from ‘destroy’ at /usr/include/c++/12/bits/new_allocator.h:181:13, inlined from ‘destroy’ at /usr/include/c++/12/bits/alloc_traits.h:535:15, inlined from ‘_M_destroy_node’ at /usr/include/c++/12/bits/stl_tree.h:625:24, inlined from ‘_M_drop_node’ at /usr/include/c++/12/bits/stl_tree.h:633:17, inlined from ‘__dt_base ’ at /usr/include/c++/12/bits/stl_tree.h:1644:23, inlined from ‘_M_emplace_hint_unique.constprop.isra’ at /usr/include/c++/12/bits/stl_tree.h:2468:7: /usr/include/c++/12/bits/new_allocator.h:158:33: warning: ‘operator delete’ called on pointer ‘_27’ with nonzero offset 56 [-Wfree-nonheap-object] 158 | _GLIBCXX_OPERATOR_DELETE(_GLIBCXX_SIZED_DEALLOC(__p, __n)); | ^ In member function ‘allocate’, inlined from ‘allocate’ at /usr/include/c++/12/bits/alloc_traits.h:464:28, inlined from ‘_M_get_node’ at /usr/include/c++/12/bits/stl_tree.h:563:39, inlined from ‘_M_create_node’ at /usr/include/c++/12/bits/stl_tree.h:613:34, inlined from ‘__ct ’ at /usr/include/c++/12/bits/stl_tree.h:1638:32, inlined from ‘_M_emplace_hint_unique.constprop.isra’ at /usr/include/c++/12/bits/stl_tree.h:2463:13: /usr/include/c++/12/bits/new_allocator.h:137:55: note: returned from ‘operator new’ 137 | return static_cast<_Tp*>(_GLIBCXX_OPERATOR_NEW(__n * sizeof(_Tp))); | ^ In member function ‘deallocate’, inlined from ‘deallocate’ at /usr/include/c++/12/bits/alloc_traits.h:496:23, inlined from ‘_M_destroy’ at /usr/include/c++/12/bits/basic_string.h:300:34, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:294:14, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:291:7, inlined from ‘__dt_base ’ at /usr/include/c++/12/bits/basic_string.h:803:19, inlined from ‘_vl_string_vprintf’ at /usr/src/verilator-5.012-1/include/verilated.cpp:238:1: /usr/include/c++/12/bits/new_allocator.h:158:33: warning: ‘operator delete’ called on unallocated object ‘result’ [-Wfree-nonheap-object] 158 | _GLIBCXX_OPERATOR_DELETE(_GLIBCXX_SIZED_DEALLOC(__p, __n)); | ^ /usr/src/verilator-5.012-1/include/verilated.cpp: In function ‘_vl_string_vprintf’: /usr/src/verilator-5.012-1/include/verilated.cpp:235:17: note: declared here In member function ‘deallocate’, inlined from ‘deallocate’ at /usr/include/c++/12/bits/alloc_traits.h:496:23, inlined from ‘_M_destroy’ at /usr/include/c++/12/bits/basic_string.h:300:34, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:294:14, inlined from ‘_M_dispose’ at /usr/include/c++/12/bits/basic_string.h:291:7, inlined from ‘__dt_base ’ at /usr/include/c++/12/bits/basic_string.h:803:19, inlined from ‘combineHier’ at /usr/src/verilator-5.012-1/include/verilated_cov.cpp:216:5: /usr/include/c++/12/bits/new_allocator.h:158:33: warning: ‘operator delete’ called on unallocated object ‘result’ [-Wfree-nonheap-object] 158 | _GLIBCXX_OPERATOR_DELETE(_GLIBCXX_SIZED_DEALLOC(__p, __n)); | ^ /usr/src/verilator-5.012-1/include/verilated_cov.cpp: In function ‘combineHier’: /usr/src/verilator-5.012-1/include/verilated_cov.cpp:211:21: note: declared here rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/<>/examples/make_tracing_sc/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace SystemC 2.3.4-Accellera --- Jun 22 2023 20:13:58 Copyright (c) 1996-2022 by all Contributors, ALL RIGHTS RESERVED [0] Model running... Enabling waves into logs/vlt_dump.vcd... [43000] fastclk is 5 times faster than clk *-* All Finished *-* - sub.v:46: Verilog $finish -- COVERAGE ---------------- /<>/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (5/34) 14.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/<>/examples/make_tracing_sc' make[3]: Entering directory '/<>/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[3]: Leaving directory '/<>/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make[2]: Leaving directory '/<>' make[1]: Leaving directory '/<>' create-stamp debian/debhelper-build-stamp dh_prep -a dh_auto_install --destdir=debian/verilator/ -a make -j4 install DESTDIR=/<>/debian/verilator AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/<>' /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/bin ------------------------------------------------------------ /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/man/man1 making verilator in src /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/include/gtkwave make -C src mkdir /<>/debian/verilator/usr make[2]: Entering directory '/<>/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial mkdir /<>/debian/verilator/usr/share mkdir /<>/debian/verilator/usr/bin make[3]: Entering directory '/<>/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. ( cd ./bin ; /usr/bin/install -c verilator /<>/debian/verilator/usr/bin/verilator ) mkdir /<>/debian/verilator/usr/share/man mkdir /<>/debian/verilator/usr/share/verilator mkdir /<>/debian/verilator/usr/share/man/man1 mkdir /<>/debian/verilator/usr/share/verilator/include for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/man/man1/$p; \ done ( cd ./bin ; /usr/bin/install -c verilator_coverage /<>/debian/verilator/usr/bin/verilator_coverage ) mkdir /<>/debian/verilator/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/include/vltstd ( cd ./bin ; /usr/bin/install -c verilator_gantt /<>/debian/verilator/usr/bin/verilator_gantt ) mkdir /<>/debian/verilator/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done ( cd ./bin ; /usr/bin/install -c verilator_profcfunc /<>/debian/verilator/usr/bin/verilator_profcfunc ) make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj Installed binaries to /<>/debian/verilator/usr/bin/verilator cd . \ ; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done Installed man to /<>/debian/verilator/usr/share/man/man1 ( cd bin ; /usr/bin/install -c verilator_bin /<>/debian/verilator/usr/bin/verilator_bin ) Installed examples to /<>/debian/verilator/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org make[3]: Entering directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/<>/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/<>/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/<>/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/<>/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Entering directory '/<>/src/obj_opt' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_opt' make[3]: Entering directory '/<>/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -g -O2 -ffile-prefix-map=/<>=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -fdebug-prefix-map=/<>=/usr/src/verilator-5.012-1 -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/<>/src/obj_dbg' make[2]: Leaving directory '/<>/src' /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_binary mkdir /<>/debian/verilator/usr/share/verilator/examples mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_binary /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_c mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_hello_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_tracing_c mkdir /<>/debian/verilator/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_tracing_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/make_protect_lib mkdir /<>/debian/verilator/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_c mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_c mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/cmake_protect_lib mkdir /<>/debian/verilator/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/examples/xml_py mkdir /<>/debian/verilator/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/bin/install -c -m 644 $p /<>/debian/verilator/usr/share/verilator/$p; \ done ( cd bin ; /usr/bin/install -c verilator_bin_dbg /<>/debian/verilator/usr/bin/verilator_bin_dbg ) /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/pkgconfig mkdir /<>/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator.pc /<>/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator-config.cmake /<>/debian/verilator/usr/share/verilator /usr/bin/install -c -m 644 verilator-config-version.cmake /<>/debian/verilator/usr/share/verilator ( cd bin ; /usr/bin/install -c verilator_coverage_bin_dbg /<>/debian/verilator/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /<>/debian/verilator/usr/share/verilator/bin mkdir /<>/debian/verilator/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -c verilator_includer /<>/debian/verilator/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/bin/install -c verilator_ccache_report /<>/debian/verilator/usr/share/verilator/bin/verilator_ccache_report ) ( cd ./bin ; /usr/bin/install -c verilator_difftree /<>/debian/verilator/usr/share/verilator/bin/verilator_difftree ) make[1]: Leaving directory '/<>' dh_installdocs -a dh_sphinxdoc -a dh_installchangelogs -a dh_installman -a dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a debian/rules override_dh_compress make[1]: Entering directory '/<>' dh_compress --exclude=examples --exclude=verilator.pdf make[1]: Leaving directory '/<>' debian/rules override_dh_fixperms make[1]: Entering directory '/<>' dh_fixperms # Fixing file permissions for Python based files in /usr/share/verilator/examples. for file in `find /<>/debian/verilator/usr/share/verilator/examples -type f`; do \ FOUND_PYTHON=$(head -n1 $file | grep python); \ if [ "$FOUND_PYTHON" != "" ]; then \ chmod +x $file; \ else \ chmod -x $file; \ fi; \ done make[1]: Leaving directory '/<>' dh_missing -a dh_strip -a debugedit: debian/verilator/usr/bin/verilator_bin_dbg: DWARF version 0 unhandled d568b234d2d99b4eeaab8238ca77afe5f78551f6 0a3704849f123e27db9a59a65be07f25c0ea23f7 debugedit: debian/verilator/usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled 9c41bcc5c977e2b9e185589ed16df80313e94118 dh_makeshlibs -a dh_shlibdeps -a dh_installdeb -a dh_gencontrol -a dh_md5sums -a dh_builddeb -a INFO: pkgstriptranslations version 153 INFO: pkgstriptranslations version 153 pkgstriptranslations: processing verilator (in debian/verilator); do_strip: , oemstrip: pkgstriptranslations: processing verilator-dbgsym (in debian/.debhelper/verilator/dbgsym-root); do_strip: , oemstrip: pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgmaintainermangler: Maintainer field overridden to "Ubuntu Developers " pkgstripfiles: processing control file: debian/verilator/DEBIAN/control, package verilator, directory debian/verilator pkgstripfiles: Running PNG optimization (using 4 cpus) for package verilator ... pkgstripfiles: processing control file: debian/.debhelper/verilator/dbgsym-root/DEBIAN/control, package verilator-dbgsym, directory debian/.debhelper/verilator/dbgsym-root xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value dpkg-deb: building package 'verilator-dbgsym' in 'debian/.debhelper/scratch-space/build-verilator/verilator-dbgsym_5.012-1_arm64.deb'. o...ooo pkgstripfiles: PNG optimization (4/0) for package verilator took 8 s dpkg-deb: building package 'verilator' in '../verilator_5.012-1_arm64.deb'. Renaming verilator-dbgsym_5.012-1_arm64.deb to verilator-dbgsym_5.012-1_arm64.ddeb dpkg-genbuildinfo --build=any -O../verilator_5.012-1_arm64.buildinfo dpkg-genchanges --build=any -mLaunchpad Build Daemon -O../verilator_5.012-1_arm64.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2023-07-03T06:35:05Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ verilator_5.012-1_arm64.changes: -------------------------------- Format: 1.8 Date: Sun, 02 Jul 2023 22:36:32 +0200 Source: verilator Binary: verilator Built-For-Profiles: noudeb Architecture: arm64 Version: 5.012-1 Distribution: mantic-proposed Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: أحمد المحمودي (Ahmed El-Mahmoudy) Description: verilator - fast free Verilog simulator Changes: verilator (5.012-1) unstable; urgency=medium . * [bbe4c20] New upstream version 5.012 * [988bc5e] Add fix-typos.patch to fix a typo * [ffd7dca] Add remove-gtag.js.patch to avoid inclusion of gtag.js in generated HTML docs * Upload to unstable Checksums-Sha1: 2a83224157e30c6448a7701837e031f2d3080a14 137992806 verilator-dbgsym_5.012-1_arm64.ddeb 6ac43a12554fe6d9e242b4b4e956dca9cd4dc1ba 9584 verilator_5.012-1_arm64.buildinfo 604d0e3471bcda3e050cf51d4f306c89e8e3fdac 7249766 verilator_5.012-1_arm64.deb Checksums-Sha256: 8e2651f58a9dfeae0787cc4e1381edc17a98d2fc6fee574fa2d74b94cd915bba 137992806 verilator-dbgsym_5.012-1_arm64.ddeb ce1edcb3baeb492c8b9b57172dd5b2cd139061c255e3a8738ccaedae1c4cb8a2 9584 verilator_5.012-1_arm64.buildinfo 698a7beb62a7df90bab0cb454ff50efa570bad5fc432e80ed1faa1df3c5ca29f 7249766 verilator_5.012-1_arm64.deb Files: dc40205e2911e445b0689f9abeb6d552 137992806 debug optional verilator-dbgsym_5.012-1_arm64.ddeb fb12e5304d5ec1c4c4fccbb93312552b 9584 electronics optional verilator_5.012-1_arm64.buildinfo bf6a83bd6dc47a910f8e25622fac5aa4 7249766 electronics optional verilator_5.012-1_arm64.deb /<>/verilator_5.012-1_arm64.changes.new could not be renamed to /<>/verilator_5.012-1_arm64.changes: Illegal seek Distribution field may be wrong!!! +------------------------------------------------------------------------------+ | Buildinfo | +------------------------------------------------------------------------------+ Format: 1.0 Source: verilator Binary: verilator verilator-dbgsym Architecture: arm64 Version: 5.012-1 Checksums-Md5: dc40205e2911e445b0689f9abeb6d552 137992806 verilator-dbgsym_5.012-1_arm64.ddeb bf6a83bd6dc47a910f8e25622fac5aa4 7249766 verilator_5.012-1_arm64.deb Checksums-Sha1: 2a83224157e30c6448a7701837e031f2d3080a14 137992806 verilator-dbgsym_5.012-1_arm64.ddeb 604d0e3471bcda3e050cf51d4f306c89e8e3fdac 7249766 verilator_5.012-1_arm64.deb Checksums-Sha256: 8e2651f58a9dfeae0787cc4e1381edc17a98d2fc6fee574fa2d74b94cd915bba 137992806 verilator-dbgsym_5.012-1_arm64.ddeb 698a7beb62a7df90bab0cb454ff50efa570bad5fc432e80ed1faa1df3c5ca29f 7249766 verilator_5.012-1_arm64.deb Build-Origin: Ubuntu Build-Architecture: arm64 Build-Date: Mon, 03 Jul 2023 06:35:03 +0000 Build-Path: /<> Build-Tainted-By: merged-usr-via-aliased-dirs usr-local-has-programs Installed-Build-Depends: autoconf (= 2.71-3), automake (= 1:1.16.5-1.3), autopoint (= 0.21-12), autotools-dev (= 20220109.1), base-files (= 13ubuntu1), base-passwd (= 3.6.1), bash (= 5.2.15-2ubuntu1), binutils (= 2.40.50.20230701-0ubuntu1), binutils-aarch64-linux-gnu (= 2.40.50.20230701-0ubuntu1), binutils-common (= 2.40.50.20230701-0ubuntu1), bison (= 2:3.8.2+dfsg-1build1), bsdextrautils (= 2.38.1-5ubuntu2), bsdutils (= 1:2.38.1-5ubuntu2), build-essential (= 12.10ubuntu1), bzip2 (= 1.0.8-5build1), ca-certificates (= 20230311ubuntu1), coreutils (= 9.1-1ubuntu2), cpp (= 4:12.3.0-1ubuntu2), cpp-12 (= 12.3.0-3ubuntu1), dash (= 0.5.12-2ubuntu1), debconf (= 1.5.82), debhelper (= 13.11.4ubuntu3), debianutils (= 5.7-0.4), debugedit (= 1:5.0-5), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.13.1-1), diffutils (= 1:3.8-4), docutils-common (= 0.19+dfsg-6), dpkg (= 1.21.22ubuntu1), dpkg-dev (= 1.21.22ubuntu1), dwz (= 0.15-1), file (= 1:5.44-3), findutils (= 4.9.0-4ubuntu1), flex (= 2.6.4-8.2), fontconfig-config (= 2.14.1-4ubuntu1), fonts-dejavu-core (= 2.37-6), fonts-font-awesome (= 5.0.10+really4.7.0~dfsg-4.1), fonts-lato (= 2.0-2.1), fonts-lmodern (= 2.005-1), g++ (= 4:12.3.0-1ubuntu2), g++-12 (= 12.3.0-3ubuntu1), gcc (= 4:12.3.0-1ubuntu2), gcc-12 (= 12.3.0-3ubuntu1), gcc-12-base (= 12.3.0-3ubuntu1), gcc-13-base (= 13.1.0-7ubuntu1), gettext (= 0.21-12), gettext-base (= 0.21-12), grep (= 3.8-5), groff-base (= 1.22.4-10), gzip (= 1.12-1ubuntu1), help2man (= 1.49.3), hostname (= 3.23+nmu1ubuntu1), init-system-helpers (= 1.65.2), intltool-debian (= 0.35.0+20060710.6), latexmk (= 1:4.80-1), libacl1 (= 2.3.1-3), libapache-pom-java (= 29-2), libarchive-zip-perl (= 1.68-1), libasan8 (= 13.1.0-7ubuntu1), libatomic1 (= 13.1.0-7ubuntu1), libattr1 (= 1:2.5.1-4), libaudit-common (= 1:3.0.9-1), libaudit1 (= 1:3.0.9-1), libbinutils (= 2.40.50.20230701-0ubuntu1), libblkid1 (= 2.38.1-5ubuntu2), libbrotli1 (= 1.0.9-2build8), libbsd0 (= 0.11.7-4), libbz2-1.0 (= 1.0.8-5build1), libc-bin (= 2.37-0ubuntu2), libc-dev-bin (= 2.37-0ubuntu2), libc6 (= 2.37-0ubuntu2), libc6-dev (= 2.37-0ubuntu2), libcairo2 (= 1.16.0-7), libcap-ng0 (= 0.8.3-1build2), libcap2 (= 1:2.66-4ubuntu1), libcc1-0 (= 13.1.0-7ubuntu1), libcom-err2 (= 1.47.0-1ubuntu2), libcommons-logging-java (= 1.2-3), libcommons-parent-java (= 56-1), libcrypt-dev (= 1:4.4.35-1), libcrypt1 (= 1:4.4.35-1), libctf-nobfd0 (= 2.40.50.20230701-0ubuntu1), libctf0 (= 2.40.50.20230701-0ubuntu1), libdb5.3 (= 5.3.28+dfsg2-1), libdebconfclient0 (= 0.267ubuntu1), libdebhelper-perl (= 13.11.4ubuntu3), libdpkg-perl (= 1.21.22ubuntu1), libdw1 (= 0.189-3), libelf1 (= 0.189-3), libexpat1 (= 2.5.0-2), libffi8 (= 3.4.4-1), libfile-stripnondeterminism-perl (= 1.13.1-1), libfl-dev (= 2.6.4-8.2), libfl2 (= 2.6.4-8.2), libfontbox-java (= 1:1.8.16-2), libfontconfig1 (= 2.14.1-4ubuntu1), libfontenc1 (= 1:1.1.4-1build3), libfreetype6 (= 2.12.1+dfsg-5), libgcc-12-dev (= 12.3.0-3ubuntu1), libgcc-s1 (= 13.1.0-7ubuntu1), libgcrypt20 (= 1.10.1-3ubuntu1), libgdbm-compat4 (= 1.23-3), libgdbm6 (= 1.23-3), libglib2.0-0 (= 2.76.3-1ubuntu1), libgmp10 (= 2:6.2.1+dfsg1-1.1ubuntu1), libgomp1 (= 13.1.0-7ubuntu1), libgpg-error0 (= 1.46-1), libgprofng0 (= 2.40.50.20230701-0ubuntu1), libgraphite2-3 (= 1.3.14-1build2), libgssapi-krb5-2 (= 1.20.1-2), libharfbuzz0b (= 6.0.0+dfsg-3build1), libhwasan0 (= 13.1.0-7ubuntu1), libice6 (= 2:1.0.10-1build2), libicu72 (= 72.1-3ubuntu2), libisl23 (= 0.26-3), libitm1 (= 13.1.0-7ubuntu1), libjansson4 (= 2.14-2), libjs-jquery (= 3.6.1+dfsg+~3.5.14-1), libjs-sphinxdoc (= 5.3.0-4), libjs-underscore (= 1.13.4~dfsg+~1.11.4-3), libjson-perl (= 4.10000-1), libk5crypto3 (= 1.20.1-2), libkeyutils1 (= 1.6.3-2), libkpathsea6 (= 2022.20220321.62855-7), libkrb5-3 (= 1.20.1-2), libkrb5support0 (= 1.20.1-2), liblocale-gettext-perl (= 1.07-5), liblsan0 (= 13.1.0-7ubuntu1), liblz4-1 (= 1.9.4-1), liblzma5 (= 5.4.1-0.2), libmagic-mgc (= 1:5.44-3), libmagic1 (= 1:5.44-3), libmd0 (= 1.1.0-1), libmount1 (= 2.38.1-5ubuntu2), libmpc3 (= 1.3.1-1), libmpfr6 (= 4.2.0-1), libncursesw6 (= 6.4+20230625-1), libnsl-dev (= 1.3.0-2build2), libnsl2 (= 1.3.0-2build2), libpam-modules (= 1.5.2-6ubuntu1), libpam-modules-bin (= 1.5.2-6ubuntu1), libpam-runtime (= 1.5.2-6ubuntu1), libpam0g (= 1.5.2-6ubuntu1), libpaper-utils (= 1.1.29), libpaper1 (= 1.1.29), libpcre2-8-0 (= 10.42-1), libpdfbox-java (= 1:1.8.16-2), libperl5.36 (= 5.36.0-7ubuntu1), libpipeline1 (= 1.5.7-1), libpixman-1-0 (= 0.42.2-1), libpng16-16 (= 1.6.40-1), libptexenc1 (= 2022.20220321.62855-7), libpython3-stdlib (= 3.11.4-1), libpython3.11-minimal (= 3.11.4-1), libpython3.11-stdlib (= 3.11.4-1), libreadline8 (= 8.2-1.3), libseccomp2 (= 2.5.4-1ubuntu3), libselinux1 (= 3.4-1build4), libsframe1 (= 2.40.50.20230701-0ubuntu1), libsm6 (= 2:1.2.3-1build2), libsmartcols1 (= 2.38.1-5ubuntu2), libsqlite3-0 (= 3.42.0-1), libssl3 (= 3.0.9-1ubuntu1), libstdc++-12-dev (= 12.3.0-3ubuntu1), libstdc++6 (= 13.1.0-7ubuntu1), libsub-override-perl (= 0.09-4), libsynctex2 (= 2022.20220321.62855-7), libsystemc (= 2.3.4-3), libsystemc-dev (= 2.3.4-3), libsystemd0 (= 252.5-2ubuntu3), libteckit0 (= 2.5.11+ds1-1), libtexlua53-5 (= 2022.20220321.62855-7), libtinfo6 (= 6.4+20230625-1), libtirpc-common (= 1.3.3+ds-1), libtirpc-dev (= 1.3.3+ds-1), libtirpc3 (= 1.3.3+ds-1), libtool (= 2.4.7-5), libtsan2 (= 13.1.0-7ubuntu1), libubsan1 (= 13.1.0-7ubuntu1), libuchardet0 (= 0.0.7-1build2), libudev1 (= 252.5-2ubuntu3), libunistring2 (= 1.0-2), libuuid1 (= 2.38.1-5ubuntu2), libx11-6 (= 2:1.8.6-1), libx11-data (= 2:1.8.6-1), libxau6 (= 1:1.0.9-1build5), libxaw7 (= 2:1.0.14-1), libxcb-render0 (= 1.15-1), libxcb-shm0 (= 1.15-1), libxcb1 (= 1.15-1), libxdmcp6 (= 1:1.1.3-0ubuntu5), libxext6 (= 2:1.3.4-1build1), libxi6 (= 2:1.8-1build1), libxml2 (= 2.9.14+dfsg-1.2), libxmu6 (= 2:1.1.3-3), libxpm4 (= 1:3.5.12-1.1), libxrender1 (= 1:0.9.10-1.1), libxt6 (= 1:1.2.1-1.1), libzstd1 (= 1.5.5+dfsg2-1ubuntu1), libzzip-0-13 (= 0.13.72+dfsg.1-1.1), linux-libc-dev (= 6.3.0-7.7), login (= 1:4.13+dfsg1-1ubuntu1), lsb-base (= 11.6), lto-disabled-list (= 41), m4 (= 1.4.19-3), make (= 4.3-4.1build1), man-db (= 2.11.2-2), mawk (= 1.3.4.20230525-1), media-types (= 10.0.0), ncurses-base (= 6.4+20230625-1), ncurses-bin (= 6.4+20230625-1), openssl (= 3.0.9-1ubuntu1), patch (= 2.7.6-7build2), perl (= 5.36.0-7ubuntu1), perl-base (= 5.36.0-7ubuntu1), perl-modules-5.36 (= 5.36.0-7ubuntu1), po-debconf (= 1.0.21+nmu1), preview-latex-style (= 12.2-1ubuntu1), python-babel-localedata (= 2.10.3-1), python3 (= 3.11.4-1), python3-alabaster (= 0.7.12-1), python3-babel (= 2.10.3-1), python3-certifi (= 2022.9.24-1), python3-chardet (= 5.1.0+dfsg-2), python3-distutils (= 3.11.4-1), python3-docutils (= 0.19+dfsg-6), python3-idna (= 3.3-1), python3-imagesize (= 1.4.1-1), python3-jinja2 (= 3.1.2-1), python3-lib2to3 (= 3.11.4-1), python3-markupsafe (= 2.1.3-1), python3-minimal (= 3.11.4-1), python3-packaging (= 23.1-1), python3-pkg-resources (= 67.8.0-1), python3-pygments (= 2.15.1+dfsg-1), python3-requests (= 2.28.1+dfsg-1ubuntu2), python3-roman (= 3.3-3), python3-six (= 1.16.0-4), python3-snowballstemmer (= 2.2.0-4), python3-sphinx (= 5.3.0-4), python3-sphinx-rtd-theme (= 1.2.2+dfsg-1), python3-sphinxcontrib.jquery (= 4.1-2), python3-tz (= 2023.3-3), python3-urllib3 (= 1.26.16-1), python3.11 (= 3.11.4-1), python3.11-minimal (= 3.11.4-1), readline-common (= 8.2-1.3), rpcsvc-proto (= 1.4.2-0ubuntu6), sed (= 4.9-1), sensible-utils (= 0.0.20), sgml-base (= 1.31), sphinx-common (= 5.3.0-4), sphinx-rtd-theme-common (= 1.2.2+dfsg-1), sysvinit-utils (= 3.06-4ubuntu1), t1utils (= 1.41-4build2), tar (= 1.34+dfsg-1.2ubuntu1), tex-common (= 6.18), tex-gyre (= 20180621-6), texlive-base (= 2022.20230122-3), texlive-binaries (= 2022.20220321.62855-7), texlive-latex-base (= 2022.20230122-3), texlive-latex-extra (= 2022.20230122-4), texlive-latex-recommended (= 2022.20230122-3), texlive-pictures (= 2022.20230122-3), tzdata (= 2023c-4exp1ubuntu1), ucf (= 3.0043+nmu1), usrmerge (= 35ubuntu1), util-linux (= 2.38.1-5ubuntu2), util-linux-extra (= 2.38.1-5ubuntu2), x11-common (= 1:7.7+23ubuntu2), xdg-utils (= 1.1.3-4.1ubuntu3), xfonts-encodings (= 1:1.0.5-0ubuntu2), xfonts-utils (= 1:7.7+6build2), xml-core (= 0.18+nmu1), xz-utils (= 5.4.1-0.2), zlib1g (= 1:1.2.13.dfsg-1ubuntu4) Environment: DEB_BUILD_OPTIONS="parallel=4" DEB_BUILD_PROFILES="noudeb" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1688330192" +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ verilator_5.012-1_arm64.deb --------------------------- new Debian package, version 2.0. size 7249766 bytes: control archive=4854 bytes. 989 bytes, 20 lines control 12965 bytes, 160 lines md5sums Package: verilator Version: 5.012-1 Architecture: arm64 Maintainer: Ubuntu Developers Original-Maintainer: Debian Electronics Team Installed-Size: 32800 Depends: python3, perl:any, libc6 (>= 2.35), libjs-sphinxdoc (>= 5.2), sphinx-rtd-theme-common (>= 1.2.2+dfsg) Recommends: libsystemc-dev Suggests: gtkwave Built-Using: sphinx (= 5.3.0-4) Section: electronics Priority: optional Homepage: http://www.veripool.org/wiki/verilator Description: fast free Verilog simulator Verilator is the fastest free Verilog HDL simulator, and beats many commercial simulators. It compiles synthesizable Verilog (not test-bench code!), plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams. drwxr-xr-x root/root 0 2023-07-02 20:36 ./ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/bin/ -rwxr-xr-x root/root 23389 2023-07-02 20:36 ./usr/bin/verilator -rwxr-xr-x root/root 13176672 2023-07-02 20:36 ./usr/bin/verilator_bin -rwxr-xr-x root/root 15601376 2023-07-02 20:36 ./usr/bin/verilator_bin_dbg -rwxr-xr-x root/root 7063 2023-07-02 20:36 ./usr/bin/verilator_coverage -rwxr-xr-x root/root 854864 2023-07-02 20:36 ./usr/bin/verilator_coverage_bin_dbg -rwxr-xr-x root/root 20606 2023-07-02 20:36 ./usr/bin/verilator_gantt -rwxr-xr-x root/root 7686 2023-07-02 20:36 ./usr/bin/verilator_profcfunc drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc-base/ -rw-r--r-- root/root 350 2023-07-02 20:36 ./usr/share/doc-base/verilator.verilator drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/ -rw-r--r-- root/root 2933 2023-07-02 20:36 ./usr/share/doc/verilator/changelog.Debian.gz -rw-r--r-- root/root 9778 2023-07-02 20:36 ./usr/share/doc/verilator/copyright drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_images/ -rw-r--r-- root/root 9954 2023-07-02 20:36 ./usr/share/doc/verilator/html/_images/fig_gantt_min.png drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/ lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/_sphinx_javascript_frameworks_compat.js -> ../../../../javascript/sphinxdoc/1.0/_sphinx_javascript_frameworks_compat.js -rw-r--r-- root/root 14810 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/basic.css drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/css/ lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/css/badge_only.css -> ../../../../../sphinx_rtd_theme/static/css/badge_only.css lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/css/theme.css -> ../../../../../sphinx_rtd_theme/static/css/theme.css -rw-r--r-- root/root 382 2023-06-13 23:31 ./usr/share/doc/verilator/html/_static/css/vlt_sphinx.css lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/doctools.js -> ../../../../javascript/sphinxdoc/1.0/doctools.js -rw-r--r-- root/root 421 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/documentation_options.js -rw-r--r-- root/root 286 2023-03-29 08:31 ./usr/share/doc/verilator/html/_static/file.png drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/ lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Bold.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Bold.ttf lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Bold.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Bold.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-BoldItalic.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-BoldItalic.ttf lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-BoldItalic.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-BoldItalic.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Italic.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Italic.ttf lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Italic.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Italic.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Regular.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Regular.ttf lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/Lato-Regular.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/Lato-Regular.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/RobotoSlab-Bold.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/RobotoSlab-Bold.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/RobotoSlab-Regular.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/RobotoSlab-Regular.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.eot -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.eot lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.svg -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.svg lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.ttf -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.ttf lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.woff -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.woff lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/fonts/fontawesome-webfont.woff2 -> ../../../../../sphinx_rtd_theme/static/fonts/fontawesome-webfont.woff2 lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/jquery.js -> ../../../../javascript/sphinxdoc/1.0/jquery.js drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/js/ lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/js/theme.js -> ../../../../../sphinx_rtd_theme/static/js/theme.js lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/language_data.js -> ../../../../javascript/sphinxdoc/1.0/language_data.js -rw-r--r-- root/root 90 2023-03-29 08:31 ./usr/share/doc/verilator/html/_static/minus.png -rw-r--r-- root/root 90 2023-03-29 08:31 ./usr/share/doc/verilator/html/_static/plus.png -rw-r--r-- root/root 4846 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/pygments.css lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/searchtools.js -> ../../../../javascript/sphinxdoc/1.0/searchtools.js lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/sphinx_highlight.js -> ../../../../javascript/sphinxdoc/1.0/sphinx_highlight.js lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/underscore.js -> ../../../../javascript/sphinxdoc/1.0/underscore.js -rw-r--r-- root/root 4389 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/verilator_192_150_min.png -rw-r--r-- root/root 1563 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/verilator_32x32_min.png -rw-r--r-- root/root 8576 2023-07-02 20:36 ./usr/share/doc/verilator/html/_static/verilator_logo.png -rw-r--r-- root/root 278205 2023-07-02 20:36 ./usr/share/doc/verilator/html/changes.html -rw-r--r-- root/root 45081 2023-07-02 20:36 ./usr/share/doc/verilator/html/connecting.html -rw-r--r-- root/root 16247 2023-07-02 20:36 ./usr/share/doc/verilator/html/contributing.html -rw-r--r-- root/root 17079 2023-07-02 20:36 ./usr/share/doc/verilator/html/contributors.html -rw-r--r-- root/root 6946 2023-07-02 20:36 ./usr/share/doc/verilator/html/copyright.html -rw-r--r-- root/root 8338 2023-07-02 20:36 ./usr/share/doc/verilator/html/deprecations.html -rw-r--r-- root/root 18481 2023-07-02 20:36 ./usr/share/doc/verilator/html/environment.html -rw-r--r-- root/root 11287 2023-07-02 20:36 ./usr/share/doc/verilator/html/example_binary.html -rw-r--r-- root/root 13509 2023-07-02 20:36 ./usr/share/doc/verilator/html/example_cc.html -rw-r--r-- root/root 6948 2023-07-02 20:36 ./usr/share/doc/verilator/html/example_common_install.html -rw-r--r-- root/root 9253 2023-07-02 20:36 ./usr/share/doc/verilator/html/example_dist.html -rw-r--r-- root/root 11593 2023-07-02 20:36 ./usr/share/doc/verilator/html/example_sc.html -rw-r--r-- root/root 7674 2023-07-02 20:36 ./usr/share/doc/verilator/html/examples.html -rw-r--r-- root/root 22965 2023-07-02 20:36 ./usr/share/doc/verilator/html/exe_sim.html -rw-r--r-- root/root 232122 2023-07-02 20:36 ./usr/share/doc/verilator/html/exe_verilator.html -rw-r--r-- root/root 18016 2023-07-02 20:36 ./usr/share/doc/verilator/html/exe_verilator_coverage.html -rw-r--r-- root/root 12792 2023-07-02 20:36 ./usr/share/doc/verilator/html/exe_verilator_gantt.html -rw-r--r-- root/root 9452 2023-07-02 20:36 ./usr/share/doc/verilator/html/exe_verilator_profcfunc.html -rw-r--r-- root/root 7574 2023-07-02 20:36 ./usr/share/doc/verilator/html/executables.html -rw-r--r-- root/root 69646 2023-07-02 20:36 ./usr/share/doc/verilator/html/extensions.html -rw-r--r-- root/root 50224 2023-07-02 20:36 ./usr/share/doc/verilator/html/faq.html -rw-r--r-- root/root 18289 2023-07-02 20:36 ./usr/share/doc/verilator/html/files.html -rw-r--r-- root/root 13131 2023-07-02 20:36 ./usr/share/doc/verilator/html/index.html -rw-r--r-- root/root 11035 2023-07-02 20:36 ./usr/share/doc/verilator/html/install-cmake.html -rw-r--r-- root/root 38533 2023-07-02 20:36 ./usr/share/doc/verilator/html/install.html -rw-r--r-- root/root 42957 2023-07-02 20:36 ./usr/share/doc/verilator/html/languages.html -rw-r--r-- root/root 5650 2023-07-02 20:36 ./usr/share/doc/verilator/html/objects.inv -rw-r--r-- root/root 9805 2023-07-02 20:36 ./usr/share/doc/verilator/html/overview.html -rw-r--r-- root/root 6027 2023-07-02 20:36 ./usr/share/doc/verilator/html/search.html -rw-r--r-- root/root 206353 2023-07-02 20:36 ./usr/share/doc/verilator/html/searchindex.js -rw-r--r-- root/root 43853 2023-07-02 20:36 ./usr/share/doc/verilator/html/simulating.html -rw-r--r-- root/root 42584 2023-07-02 20:36 ./usr/share/doc/verilator/html/verilating.html -rw-r--r-- root/root 159579 2023-07-02 20:36 ./usr/share/doc/verilator/html/warnings.html -rw-r--r-- root/root 29643 2023-06-13 23:31 ./usr/share/doc/verilator/internals.rst.gz -rw-r--r-- root/root 809268 2023-07-02 20:36 ./usr/share/doc/verilator/verilator.pdf drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/lintian/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 1347 2023-07-02 20:36 ./usr/share/lintian/overrides/verilator drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/man/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/man/man1/ -rw-r--r-- root/root 6407 2023-07-02 20:36 ./usr/share/man/man1/verilator.1.gz lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/man/man1/verilator_bin.1.gz -> verilator.1.gz lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/man/man1/verilator_bin_dbg.1.gz -> verilator.1.gz -rw-r--r-- root/root 2744 2023-07-02 20:36 ./usr/share/man/man1/verilator_coverage.1.gz lrwxrwxrwx root/root 0 2023-07-02 20:36 ./usr/share/man/man1/verilator_coverage_bin_dbg.1.gz -> verilator_coverage.1.gz -rw-r--r-- root/root 724 2023-07-02 20:36 ./usr/share/man/man1/verilator_gantt.1.gz -rw-r--r-- root/root 688 2023-07-02 20:36 ./usr/share/man/man1/verilator_profcfunc.1.gz drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/pkgconfig/ -rw-r--r-- root/root 269 2023-07-02 20:36 ./usr/share/pkgconfig/verilator.pc drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/bin/ -rwxr-xr-x root/root 3288 2023-07-02 20:36 ./usr/share/verilator/bin/verilator_ccache_report -rwxr-xr-x root/root 4356 2023-07-02 20:36 ./usr/share/verilator/bin/verilator_difftree -rwxr-xr-x root/root 960 2023-07-02 20:36 ./usr/share/verilator/bin/verilator_includer drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_c/ -rw-r--r-- root/root 1232 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_c/CMakeLists.txt -rw-r--r-- root/root 2105 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_c/Makefile drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_sc/ -rw-r--r-- root/root 1556 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_sc/CMakeLists.txt -rw-r--r-- root/root 3537 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_hello_sc/Makefile drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_protect_lib/ -rw-r--r-- root/root 2410 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_protect_lib/CMakeLists.txt -rw-r--r-- root/root 2105 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_protect_lib/Makefile drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_c/ -rw-r--r-- root/root 1343 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_c/CMakeLists.txt -rw-r--r-- root/root 2303 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_c/Makefile drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_sc/ -rw-r--r-- root/root 1667 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_sc/CMakeLists.txt -rw-r--r-- root/root 3574 2023-07-02 20:36 ./usr/share/verilator/examples/cmake_tracing_sc/Makefile drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_binary/ -rw-r--r-- root/root 1836 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_binary/Makefile -rw-r--r-- root/root 373 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_binary/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_c/ -rw-r--r-- root/root 1851 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_c/Makefile -rw-r--r-- root/root 1384 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_c/sim_main.cpp -rw-r--r-- root/root 373 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_c/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_sc/ -rw-r--r-- root/root 2177 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_sc/Makefile -rw-r--r-- root/root 1400 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_sc/sc_main.cpp -rw-r--r-- root/root 373 2023-07-02 20:36 ./usr/share/verilator/examples/make_hello_sc/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_protect_lib/ -rw-r--r-- root/root 3738 2023-07-02 20:36 ./usr/share/verilator/examples/make_protect_lib/Makefile -rw-r--r-- root/root 749 2023-07-02 20:36 ./usr/share/verilator/examples/make_protect_lib/secret_impl.v -rw-r--r-- root/root 1941 2023-07-02 20:36 ./usr/share/verilator/examples/make_protect_lib/sim_main.cpp -rw-r--r-- root/root 874 2023-07-02 20:36 ./usr/share/verilator/examples/make_protect_lib/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/ -rw-r--r-- root/root 3058 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/Makefile -rw-r--r-- root/root 1823 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/Makefile_obj -rw-r--r-- root/root 129 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/input.vc -rw-r--r-- root/root 4844 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/sim_main.cpp -rw-r--r-- root/root 1126 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/sub.v -rw-r--r-- root/root 1487 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_c/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/ -rw-r--r-- root/root 3395 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/Makefile -rw-r--r-- root/root 2266 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/Makefile_obj -rw-r--r-- root/root 129 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/input.vc -rw-r--r-- root/root 4167 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/sc_main.cpp -rw-r--r-- root/root 1590 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/sub.v -rw-r--r-- root/root 1381 2023-07-02 20:36 ./usr/share/verilator/examples/make_tracing_sc/top.v drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/ -rw-r--r-- root/root 1928 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/Makefile -rw-r--r-- root/root 453 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/sub.v -rw-r--r-- root/root 859 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/top.v -rwxr-xr-x root/root 4469 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/vl_file_copy -rwxr-xr-x root/root 5603 2023-07-02 20:36 ./usr/share/verilator/examples/xml_py/vl_hier_graph drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/include/ drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/ -rw-r--r-- root/root 13561 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fastlz.c -rw-r--r-- root/root 3685 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fastlz.h -rw-r--r-- root/root 429 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fst_config.h -rw-r--r-- root/root 1593 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fst_win_unistd.h -rw-r--r-- root/root 252415 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fstapi.c -rw-r--r-- root/root 18479 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/fstapi.h -rw-r--r-- root/root 54956 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/lz4.c -rw-r--r-- root/root 18872 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/lz4.h -rw-r--r-- root/root 1431 2023-07-02 20:36 ./usr/share/verilator/include/gtkwave/wavealloca.h -rw-r--r-- root/root 131093 2023-07-02 20:36 ./usr/share/verilator/include/verilated.cpp -rw-r--r-- root/root 42374 2023-07-02 20:36 ./usr/share/verilator/include/verilated.h -rw-r--r-- root/root 10768 2023-07-02 20:36 ./usr/share/verilator/include/verilated.mk -rw-r--r-- root/root 875 2023-07-02 20:36 ./usr/share/verilator/include/verilated.v -rw-r--r-- root/root 1204 2023-07-02 20:36 ./usr/share/verilator/include/verilated_config.h -rw-r--r-- root/root 1230 2023-07-02 20:36 ./usr/share/verilator/include/verilated_config.h.in -rw-r--r-- root/root 21059 2023-07-02 20:36 ./usr/share/verilator/include/verilated_cov.cpp -rw-r--r-- root/root 7773 2023-07-02 20:36 ./usr/share/verilator/include/verilated_cov.h -rw-r--r-- root/root 3911 2023-07-02 20:36 ./usr/share/verilator/include/verilated_cov_key.h -rw-r--r-- root/root 32405 2023-07-02 20:36 ./usr/share/verilator/include/verilated_dpi.cpp -rw-r--r-- root/root 3754 2023-07-02 20:36 ./usr/share/verilator/include/verilated_dpi.h -rw-r--r-- root/root 13697 2023-07-02 20:36 ./usr/share/verilator/include/verilated_fst_c.cpp -rw-r--r-- root/root 9771 2023-07-02 20:36 ./usr/share/verilator/include/verilated_fst_c.h -rw-r--r-- root/root 971 2023-07-02 20:36 ./usr/share/verilator/include/verilated_fst_sc.cpp -rw-r--r-- root/root 4940 2023-07-02 20:36 ./usr/share/verilator/include/verilated_fst_sc.h -rw-r--r-- root/root 99834 2023-07-02 20:36 ./usr/share/verilator/include/verilated_funcs.h -rw-r--r-- root/root 1011 2023-07-02 20:36 ./usr/share/verilator/include/verilated_heavy.h -rw-r--r-- root/root 23433 2023-07-02 20:36 ./usr/share/verilator/include/verilated_imp.h -rw-r--r-- root/root 1558 2023-07-02 20:36 ./usr/share/verilator/include/verilated_intrinsics.h -rw-r--r-- root/root 7473 2023-07-02 20:36 ./usr/share/verilator/include/verilated_probdist.cpp -rw-r--r-- root/root 8266 2023-07-02 20:36 ./usr/share/verilator/include/verilated_profiler.cpp -rw-r--r-- root/root 9738 2023-07-02 20:36 ./usr/share/verilator/include/verilated_profiler.h -rw-r--r-- root/root 9683 2023-07-02 20:36 ./usr/share/verilator/include/verilated_save.cpp -rw-r--r-- root/root 12237 2023-07-02 20:36 ./usr/share/verilator/include/verilated_save.h -rw-r--r-- root/root 2135 2023-07-02 20:36 ./usr/share/verilator/include/verilated_sc.h -rw-r--r-- root/root 4926 2023-07-02 20:36 ./usr/share/verilator/include/verilated_std.sv -rw-r--r-- root/root 10406 2023-07-02 20:36 ./usr/share/verilator/include/verilated_sym_props.h -rw-r--r-- root/root 2690 2023-07-02 20:36 ./usr/share/verilator/include/verilated_syms.h -rw-r--r-- root/root 3505 2023-07-02 20:36 ./usr/share/verilator/include/verilated_threads.cpp -rw-r--r-- root/root 7821 2023-07-02 20:36 ./usr/share/verilator/include/verilated_threads.h -rw-r--r-- root/root 7884 2023-07-02 20:36 ./usr/share/verilator/include/verilated_timing.cpp -rw-r--r-- root/root 19194 2023-07-02 20:36 ./usr/share/verilator/include/verilated_timing.h -rw-r--r-- root/root 22828 2023-07-02 20:36 ./usr/share/verilator/include/verilated_trace.h -rw-r--r-- root/root 1504 2023-07-02 20:36 ./usr/share/verilator/include/verilated_trace_defs.h -rw-r--r-- root/root 35979 2023-07-02 20:36 ./usr/share/verilator/include/verilated_trace_imp.h -rw-r--r-- root/root 55346 2023-07-02 20:36 ./usr/share/verilator/include/verilated_types.h -rw-r--r-- root/root 26281 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vcd_c.cpp -rw-r--r-- root/root 13201 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vcd_c.h -rw-r--r-- root/root 976 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vcd_sc.cpp -rw-r--r-- root/root 4861 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vcd_sc.h -rw-r--r-- root/root 98761 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vpi.cpp -rw-r--r-- root/root 2120 2023-07-02 20:36 ./usr/share/verilator/include/verilated_vpi.h -rw-r--r-- root/root 23839 2023-07-02 20:36 ./usr/share/verilator/include/verilatedos.h drwxr-xr-x root/root 0 2023-07-02 20:36 ./usr/share/verilator/include/vltstd/ -rw-r--r-- root/root 18556 2023-07-02 20:36 ./usr/share/verilator/include/vltstd/svdpi.h -rw-r--r-- root/root 45550 2023-07-02 20:36 ./usr/share/verilator/include/vltstd/vpi_user.h -rw-r--r-- root/root 912 2023-07-02 20:36 ./usr/share/verilator/verilator-config-version.cmake -rw-r--r-- root/root 15762 2023-07-02 20:36 ./usr/share/verilator/verilator-config.cmake +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: arm64 Build Type: any Build-Space: 3202772 Build-Time: 1461 Distribution: mantic-proposed Host Architecture: arm64 Install-Time: 82 Job: verilator_5.012-1.dsc Machine Architecture: arm64 Package: verilator Package-Time: 1545 Source-Version: 5.012-1 Space: 3202772 Status: successful Version: 5.012-1 -------------------------------------------------------------------------------- Finished at 2023-07-03T06:35:05Z Build needed 00:25:45, 3202772k disk space RUN: /usr/share/launchpad-buildd/bin/in-target scan-for-processes --backend=chroot --series=mantic --arch=arm64 PACKAGEBUILD-26382441 Scanning for processes to kill in build PACKAGEBUILD-26382441