haskell-clash-lib 1.6.4-1 source package in Ubuntu

Changelog

haskell-clash-lib (1.6.4-1) unstable; urgency=medium

  * New upstream release

 -- Scott Talbert <email address hidden>  Mon, 10 Oct 2022 11:09:01 -0400

Upload details

Uploaded by:
Debian Haskell Group
Uploaded to:
Sid
Original maintainer:
Debian Haskell Group
Architectures:
any all
Section:
misc
Urgency:
Medium Urgency

See full publishing history Publishing

Series Pocket Published Component Section
Mantic release universe misc
Lunar release universe misc

Downloads

File Size SHA-256 Checksum
haskell-clash-lib_1.6.4-1.dsc 6.1 KiB 3c10751f90e4b2cbd7dc7cf173783da8a366b898484319140064ce765a09f331
haskell-clash-lib_1.6.4.orig.tar.gz 436.9 KiB 5ae38d630e05c59318fc271671936bf9450c08df60dd2a89fdf15a884c47ffc1
haskell-clash-lib_1.6.4-1.debian.tar.xz 3.8 KiB 87f204ae5528775ebcb80d3ac2da24feb90b61ac1a7fc13fcacb7039c07fd0d0

No changes file available.

Binary packages built by this source

haskell-clash-lib-utils: Functional hardware description language - library

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>

libghc-clash-lib-dev: Functional hardware description language - library

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-lib-doc: Functional hardware description language - library; documentation

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

libghc-clash-lib-prof: Functional hardware description language - library; profiling libraries

 Clash is a functional hardware description language that borrows both its
 syntax and semantics from the functional programming language Haskell. The
 Clash compiler transforms these high-level descriptions to low-level
 synthesizable VHDL, Verilog, or SystemVerilog.
 .
 Features of Clash:
 .
  * Strongly typed, but with a very high degree of type inference, enabling both
 safe and fast prototyping using concise descriptions.
 .
  * Interactive REPL: load your designs in an interpreter and easily test all
 your component without needing to setup a test bench.
 .
  * Higher-order functions, with type inference, result in designs that are
 fully parametric by default.
 .
  * Synchronous sequential circuit design based on streams of values, called
 @Signal@s, lead to natural descriptions of feedback loops.
 .
  * Support for multiple clock domains, with type safe clock domain crossing.
 .
 This package provides:
 .
  * The CoreHW internal language: SystemF + Letrec + Case-decomposition
 .
  * The normalisation process that brings CoreHW in a normal form that can be
 converted to a netlist
 .
  * Blackbox/Primitive Handling
 .
 Front-ends (for: parsing, typecheck, etc.) are provided by separate packages:
 .
  * <https://hackage.haskell.org/package/clash-ghc GHC/Haskell Frontend>
 .
  * <https://github.com/christiaanb/Idris-dev Idris Frontend>
 .
 Prelude library: <https://hackage.haskell.org/package/clash-prelude>
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling. See http://www.haskell.org/ for more information on Haskell.