ProblemType: Crash Date: Tue Dec 26 17:11:13 2006 Dependencies: tzdata 2006m-1ubuntu1 belocs-locales-bin 2.4-1ubuntu6 locales 2.3.22 libc6 2.4-1ubuntu12 Disassembly: (no debugging symbols found) Using host libthread_db library "/lib/tls/i686/cmov/libthread_db.so.1". (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) Core was generated by `axel -n 4 http://ufpr.dl.sourceforge.net/sourceforge/openjade/openjade-1.3.2.ta'. Program terminated with signal 11, Segmentation fault. #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 Dump of assembler code from 0xb7ed2640 to 0xb7ed2660: 0xb7ed2640 : mov 0x48(%esi),%eax 0xb7ed2643 : test %eax,%eax 0xb7ed2645 : jle 0xb7ed267f 0xb7ed2647 : call 0xb7ed5f90 0xb7ed264c : lea 0x0(%esi),%esi 0xb7ed2650 : mov 0x5c(%esi),%ecx 0xb7ed2653 : mov %ecx,%edx 0xb7ed2655 : or $0xc,%edx 0xb7ed2658 : cmp %edx,%ecx 0xb7ed265a : je 0xb7ed267d 0xb7ed265c : mov %edx,%eax 0xb7ed265e : and $0xffffffbb,%eax End of assembler dump. DistroRelease: Ubuntu 6.10 ExecutablePath: /usr/bin/axel Package: axel 1.0b-1.1 ProcCmdline: axel -n 4 http://ufpr.dl.sourceforge.net/sourceforge/openjade/openjade-1.3.2.tar.gz ProcCwd: /home/mario ProcEnviron: SHELL=/bin/bash PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/bin/X11:/usr/games LANG=es_CL.UTF-8 LANGUAGE=es_CL:es_ES:es:en_GB:en ProcMaps: 08048000-08051000 r-xp 00000000 08:05 1175553 /usr/bin/axel 08051000-08052000 rw-p 00008000 08:05 1175553 /usr/bin/axel 08052000-08073000 rw-p 08052000 00:00 0 [heap] b7c54000-b7c63000 r-xp 00000000 08:05 97766 /lib/tls/i686/cmov/libresolv-2.4.so b7c63000-b7c65000 rw-p 0000f000 08:05 97766 /lib/tls/i686/cmov/libresolv-2.4.so b7c65000-b7c67000 rw-p b7c65000 00:00 0 b7c67000-b7c6b000 r-xp 00000000 08:05 97759 /lib/tls/i686/cmov/libnss_dns-2.4.so b7c6b000-b7c6d000 rw-p 00003000 08:05 97759 /lib/tls/i686/cmov/libnss_dns-2.4.so b7c6d000-b7c76000 r-xp 00000000 08:05 97760 /lib/tls/i686/cmov/libnss_files-2.4.so b7c76000-b7c78000 rw-p 00008000 08:05 97760 /lib/tls/i686/cmov/libnss_files-2.4.so b7c85000-b7c86000 rw-p b7c85000 00:00 0 b7c86000-b7cb9000 r--p 00000000 08:05 16478 /usr/lib/locale/es_CL.utf8/LC_CTYPE b7cb9000-b7cba000 r--p 00000000 08:05 17003 /usr/lib/locale/es_CL.utf8/LC_NUMERIC b7cba000-b7cbb000 r--p 00000000 08:05 16793 /usr/lib/locale/es_CL.utf8/LC_TIME b7cbb000-b7d92000 r--p 00000000 08:05 16794 /usr/lib/locale/es_CL.utf8/LC_COLLATE b7d92000-b7d93000 r--p 00000000 08:05 19065 /usr/lib/locale/es_CL.utf8/LC_MONETARY b7d93000-b7d94000 r--p 00000000 08:05 16797 /usr/lib/locale/es_CL.utf8/LC_MESSAGES/SYS_LC_MESSAGES b7d94000-b7d95000 rw-p b7d94000 00:00 0 b7d95000-b7ec2000 r-xp 00000000 08:05 97751 /lib/tls/i686/cmov/libc-2.4.so b7ec2000-b7ec4000 r--p 0012c000 08:05 97751 /lib/tls/i686/cmov/libc-2.4.so b7ec4000-b7ec6000 rw-p 0012e000 08:05 97751 /lib/tls/i686/cmov/libc-2.4.so b7ec6000-b7ec9000 rw-p b7ec6000 00:00 0 b7ec9000-b7ed8000 r-xp 00000000 08:05 97765 /lib/tls/i686/cmov/libpthread-2.4.so b7ed8000-b7eda000 rw-p 0000f000 08:05 97765 /lib/tls/i686/cmov/libpthread-2.4.so b7eda000-b7edc000 rw-p b7eda000 00:00 0 b7edc000-b7edd000 r--p 00000000 08:05 16486 /usr/lib/locale/es_CL.utf8/LC_PAPER b7edd000-b7ede000 r--p 00000000 08:05 16489 /usr/lib/locale/es_CL.utf8/LC_NAME b7ede000-b7edf000 r--p 00000000 08:05 19066 /usr/lib/locale/es_CL.utf8/LC_ADDRESS b7edf000-b7ee0000 r--p 00000000 08:05 19067 /usr/lib/locale/es_CL.utf8/LC_TELEPHONE b7ee0000-b7ee1000 r--p 00000000 08:05 16493 /usr/lib/locale/es_CL.utf8/LC_MEASUREMENT b7ee1000-b7ee8000 r--s 00000000 08:05 1173633 /usr/lib/gconv/gconv-modules.cache b7ee8000-b7ee9000 r--p 00000000 08:05 19068 /usr/lib/locale/es_CL.utf8/LC_IDENTIFICATION b7ee9000-b7eeb000 rw-p b7ee9000 00:00 0 b7eeb000-b7f04000 r-xp 00000000 08:05 99319 /lib/ld-2.4.so b7f04000-b7f06000 rw-p 00018000 08:05 99319 /lib/ld-2.4.so bfe4c000-bfe61000 rw-p bfe4c000 00:00 0 [stack] ffffe000-fffff000 ---p 00000000 00:00 0 [vdso] ProcStatus: Name: axel State: D (disk sleep) SleepAVG: 93% Tgid: 15294 Pid: 15294 PPid: 14382 TracerPid: 0 Uid: 1000 1000 1000 1000 Gid: 1000 1000 1000 1000 FDSize: 256 Groups: 4 20 24 25 29 30 44 46 106 110 112 1000 VmPeak: 3016 kB VmSize: 2964 kB VmLck: 0 kB VmHWM: 1140 kB VmRSS: 1140 kB VmData: 176 kB VmStk: 84 kB VmExe: 36 kB VmLib: 1476 kB VmPTE: 12 kB Threads: 1 SigQ: 1/4294967295 SigPnd: 0000000000000000 ShdPnd: 0000000000000000 SigBlk: 0000000000000000 SigIgn: 0000000000000000 SigCgt: 0000000180000000 CapInh: 0000000000000000 CapPrm: 0000000000000000 CapEff: 0000000000000000 Registers: (no debugging symbols found) Using host libthread_db library "/lib/tls/i686/cmov/libthread_db.so.1". (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) Core was generated by `axel -n 4 http://ufpr.dl.sourceforge.net/sourceforge/openjade/openjade-1.3.2.ta'. Program terminated with signal 11, Segmentation fault. #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 eax 0x0 0 ecx 0x1 1 edx 0x3 3 ebx 0x80584d8 134579416 esp 0xbfe60088 0xbfe60088 ebp 0xbfe60098 0xbfe60098 esi 0x0 0 edi 0x0 0 eip 0xb7ed2640 0xb7ed2640 eflags 0x10296 [ PF AF SF IF RF ] cs 0x73 115 ss 0x7b 123 ds 0x7b 123 es 0xc010007b -1072693125 fs 0x0 0 gs 0x33 51 Signal: 11 SourcePackage: axel Stacktrace: (no debugging symbols found) Using host libthread_db library "/lib/tls/i686/cmov/libthread_db.so.1". (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) Core was generated by `axel -n 4 http://ufpr.dl.sourceforge.net/sourceforge/openjade/openjade-1.3.2.ta'. Program terminated with signal 11, Segmentation fault. #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 No symbol table info available. #1 0x08049457 in ?? () No symbol table info available. #2 0x00000000 in ?? () No symbol table info available. ThreadStacktrace: (no debugging symbols found) Using host libthread_db library "/lib/tls/i686/cmov/libthread_db.so.1". (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) (no debugging symbols found) Core was generated by `axel -n 4 http://ufpr.dl.sourceforge.net/sourceforge/openjade/openjade-1.3.2.ta'. Program terminated with signal 11, Segmentation fault. #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 . Thread 1 (process 15294): #0 0xb7ed2640 in pthread_cancel () from /lib/tls/i686/cmov/libpthread.so.0 No symbol table info available. #1 0x08049457 in ?? () No symbol table info available. #2 0x00000000 in ?? () No symbol table info available. Uname: Linux mario 2.6.17-10-386 #2 Tue Dec 5 22:26:18 UTC 2006 i686 GNU/Linux CoreDump: base64 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