cerbere crashed with SIGSEGV in g_cclosure_marshal_VOID__VOIDv()

Bug #1134973 reported by Dmitry Misharov
16
This bug affects 3 people
Affects Status Importance Assigned to Milestone
Cerbere
Fix Released
Medium
Victor Martinez

Bug Description

I don't know what I did. Just sent this bugreport

ProblemType: Crash
DistroRelease: elementary OS 0.2
Package: cerbere 0.1+r38-0+pkg10~precise1 [origin: LP-PPA-elementary-os-daily]
Uname: Linux 3.7.4-pf i686
ApportVersion: 2.0.1-0ubuntu17.1+elementary3~precise1
Architecture: i386
CrashDB: cerbere
Date: Wed Feb 27 16:58:43 2013
Disassembly: => 0x0: Cannot access memory at address 0x0
ExecutablePath: /usr/bin/cerbere
GSettings:
 org.pantheon.cerbere crash-time-interval uint32 3500
 org.pantheon.cerbere max-crashes uint32 5
 org.pantheon.cerbere monitored-processes ['wingpanel', 'plank', 'slingshot-launcher --silent']
GsettingsChanges:

InstallationMedia: elementary OS 0.2 "Luna" - Beta 1 i386 (20121114)
MarkForUpload: True
ProcCmdline: cerbere
SegvAnalysis:
 Segfault happened at: 0x0: Cannot access memory at address 0x0
 PC (0x00000000) not located in a known VMA region (needed executable region)!
SegvReason: executing NULL VMA
Signal: 11
SourcePackage: cerbere
StacktraceTop:
 ?? ()
 g_cclosure_marshal_VOID__VOIDv () from /usr/lib/i386-linux-gnu/libgobject-2.0.so.0
 ?? () from /usr/lib/i386-linux-gnu/libgobject-2.0.so.0
 g_signal_emit_valist () from /usr/lib/i386-linux-gnu/libgobject-2.0.so.0
 g_signal_emit_by_name () from /usr/lib/i386-linux-gnu/libgobject-2.0.so.0
Title: cerbere crashed with SIGSEGV in g_cclosure_marshal_VOID__VOIDv()
UpgradeStatus: No upgrade log present (probably fresh install)
UserGroups: adm cdrom dip lpadmin plugdev sambashare sudo

Related branches

Revision history for this message
Dmitry Misharov (quarckster) wrote :
information type: Private → Public
Revision history for this message
RabbitBot (rabbitbot-a) wrote :

StacktraceTop:
 ?? ()
 g_cclosure_marshal_VOID__VOIDv (closure=0x8774060, return_value=0x0, instance=0x876e0e0, args=0xbff1d398 "H\003x\b", marshal_data=0x0, n_params=0, param_types=0x0) at /build/buildd/glib2.0-2.32.3/./gobject/gmarshal.c:115
 _g_closure_invoke_va (closure=0x8774060, return_value=0x0, instance=0x876e0e0, args=0xbff1d398 "H\003x\b", n_params=0, param_types=0x0) at /build/buildd/glib2.0-2.32.3/./gobject/gclosure.c:840
 g_signal_emit_valist (instance=0x876e0e0, signal_id=14, detail=0, var_args=0xbff1d398 "H\003x\b") at /build/buildd/glib2.0-2.32.3/./gobject/gsignal.c:3207
 g_signal_emit_by_name (instance=0x876e0e0, detailed_signal=0x8050aa5 "stop-service") at /build/buildd/glib2.0-2.32.3/./gobject/gsignal.c:3389

Revision history for this message
RabbitBot (rabbitbot-a) wrote : Stacktrace.txt
Revision history for this message
RabbitBot (rabbitbot-a) wrote : ThreadStacktrace.txt
tags: removed: need-i386-retrace
Changed in cerbere:
status: New → In Progress
assignee: nobody → Victor (victored)
Revision history for this message
Victor Martinez (victored) wrote :

Hi Dimitry, thank you for the report!

We're working on a fix.

Cody Garver (codygarver)
Changed in cerbere:
status: In Progress → Fix Released
importance: Undecided → Medium
milestone: none → 0.2
To post a comment you must log in.
This report contains Public information  
Everyone can see this information.

Other bug subscribers

Remote bug watches

Bug watches keep track of this bug in other bug trackers.