constraint error: Message: nodes.adb:111 index check failed

Bug #186260 reported by Dave Gilbert
6
Affects Status Importance Assigned to Milestone
ghdl (Ubuntu)
Confirmed
Undecided
Unassigned

Bug Description

Binary package hint: ghdl

Hi,
  This is on Gutsy on amd64; on ghdl package 0.25+gcc4.1.1-1build1 and I've also installed
the hardy ghdl packages (and gnat base dependencies) that is 0.26+gcc4.1.2~dfsg-2 and
get the same problem.

On compiling my vhdl program (which probably contains a bug in the configuration section) I get a GHDL bug
message as seen below; it should just give me normal errors about my code.
I've also reported this on the ghdl bug tracker:
https://gna.org/bugs/index.php?10833
(where I've attached the top level source file).

ghdl -a -P../unisim --ieee=synopsys -fexplicit logantest.vhd
logantest.vhd:162:7: corresponding component not fully bound

******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.26 (20070408) [Sokcho edition]
Compiled with GNAT Version: 4.2.3 20071019 (prerelease)
In directory: /home/dg/logicanalyser/ghdl/la/
Command line:
/usr/lib/ghdl/libexec/gcc/x86_64-linux-gnu/4.1.2/ghdl1 -P../unisim -fexplicit -P../unisim/ -P/usr/lib/ghdl/lib/gcc/x86_64-linux-gnu/4.1.2/vhdl/lib//v93/std/ -P/usr/lib/ghdl/lib/gcc/x86_64-linux-gnu/4.1.2/vhdl/lib//v93/synopsys/ -quiet -o logantest.s logantest.vhd
Exception CONSTRAINT_ERROR raised
Exception information:
Exception name: CONSTRAINT_ERROR
Message: nodes.adb:111 index check failed
Call stack traceback locations:
0x2b60a169d252 0x2b60a169d412 0x2b60a169d5cd 0x2b60a169d65d 0x416c6f 0x4183ad 0x41f09d 0x41f115 0x4da757 0x4db0c2 0x4dba8d 0x4b5975 0x4b616b 0x412754 0x407491 0x411eb1 0x4128e5 0x406b45 0x2b60a1cbdb42 0x406737
******************************************************************

Execution terminated by unhandled exception
Exception name: CONSTRAINT_ERROR
Message: nodes.adb:111 index check failed
Call stack traceback locations:
0x2b60a169d252 0x2b60a169d412 0x2b60a169d5cd 0x2b60a169d65d 0x416c6f 0x4183ad 0x41f09d 0x41f115 0x4da757 0x4db0c2 0x4dba8d 0x4b5975 0x4b616b 0x412754 0x407491 0x411eb1 0x4128e5 0x406b45 0x2b60a1cbdb42 0x406737
/usr/lib/ghdl/bin/ghdl: compilation error
make: *** [logantest.o] Error 1

Revision history for this message
Shimi Chen (shimi-chen) wrote :

Thank you for taking the time to report this bug and helping to make Ubuntu better. We are sorry that we do not always have the capacity to look at all reported bugs in a timely manner. There have been many changes in Ubuntu since that time you reported the bug and your problem may have been fixed with some of the updates. It would help us a lot if you could test it on a currently supported Ubuntu version. When you test it and it is still an issue, kindly upload the updated logs by running apport-collect 186260 and any other logs that are relevant for this particular issue.

Changed in ghdl (Ubuntu):
status: New → Incomplete
Revision history for this message
Dave Gilbert (ubuntu-treblig) wrote :

ghdl -a -P../unisim --ieee=synopsys -fexplicit logantest.vhd
logantest.vhd:155:7: corresponding component not fully bound

******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.29 (20100109) [Sokcho edition]
Compiled with GNAT Version: 4.4.5 20100909 (prerelease)
Yep, still fails on 0.29+gcc4.3.4+dfsg-1build1

In directory: /home/dg/logicanalyser/ghdl/la/
Command line:
/usr/lib/ghdl/libexec/gcc/x86_64-linux-gnu/4.3.4/ghdl1 -P../unisim -fexplicit -P../unisim/ -P/usr/lib/ghdl/lib/gcc/x86_64-linux-gnu/4.3.4/vhdl/lib//v93/std/ -P/usr/lib/ghdl/lib/gcc/x86_64-linux-gnu/4.3.4/vhdl/lib//v93/synopsys/ -quiet -o logantest.s logantest.vhd
Exception CONSTRAINT_ERROR raised
Exception information:
Exception name: CONSTRAINT_ERROR
Message: nodes.adb:114 index check failed
Call stack traceback locations:
0x41be92 0x41d7ba 0x4249dc 0x424a52 0x4f9a4d 0x4fa443 0x4fae39 0x4d16ca 0x4d1edf 0x417101 0x409151 0x416415 0x41727d 0x40872b 0x2b59310daefd 0x407f67
******************************************************************

Execution terminated by unhandled exception
Exception name: CONSTRAINT_ERROR
Message: nodes.adb:114 index check failed
Call stack traceback locations:
0x41be92 0x41d7ba 0x4249dc 0x424a52 0x4f9a4d 0x4fa443 0x4fae39 0x4d16ca 0x4d1edf 0x417101 0x409151 0x416415 0x41727d 0x40872b 0x2b59310daefd 0x407f67
/usr/lib/ghdl/bin/ghdl: compilation error
make: *** [logantest.o] Error 1
dg@major:~/logicanalyser/ghdl/la$

Changed in ghdl (Ubuntu):
status: Incomplete → New
Revision history for this message
Dave Gilbert (ubuntu-treblig) wrote :

sorry my comment got a bit mangled; still fails on Natty on ghdl package version 0.29+gcc4.3.4+dfsg-1build1

Dave

Changed in ghdl (Ubuntu):
status: New → Confirmed
To post a comment you must log in.
This report contains Public information  
Everyone can see this information.

Other bug subscribers

Remote bug watches

Bug watches keep track of this bug in other bug trackers.